diff --git a/.gitignore b/.gitignore
index f2c545ebbd14eae79662babf31982c57d48a4334..c121bbd8e09a08d7f963ca4c5b0efd6f8faf1498 100644
--- a/.gitignore
+++ b/.gitignore
@@ -51,3 +51,5 @@ MANIFEST
 # Cloned dependencies for Docker
 brevitas/
 brevitas_cnv_lfc/
+cnpy/
+finn-hlslib/
diff --git a/Dockerfile b/Dockerfile
index 06cb4e5d5e2b8c8c466ddd21697d6167d96fcbc6..242597ed91be02cc9d7d8eaf1f99b2dfe65ebd34 100644
--- a/Dockerfile
+++ b/Dockerfile
@@ -16,8 +16,6 @@ RUN rm requirements.txt
 ENV PYTHONPATH "${PYTHONPATH}:/workspace/finn/src"
 ENV PYTHONPATH "${PYTHONPATH}:/workspace/brevitas_cnv_lfc/training_scripts"
 ENV PYTHONPATH "${PYTHONPATH}:/workspace/brevitas"
-ENV PYTHONPATH "${PYTHONPATH}:/workspace/cnpy"
-ENV PYTHONPATH "${PYTHONPATH}:/workspace/finn-hlslib"
 
 
 ARG GID
diff --git a/cnpy/CMakeLists.txt b/cnpy/CMakeLists.txt
deleted file mode 100644
index 9eb550f053143f2734fff278893567737bc7a6f4..0000000000000000000000000000000000000000
--- a/cnpy/CMakeLists.txt
+++ /dev/null
@@ -1,30 +0,0 @@
-CMAKE_MINIMUM_REQUIRED(VERSION 3.0 FATAL_ERROR)
-if(COMMAND cmake_policy)
-	cmake_policy(SET CMP0003 NEW)
-endif(COMMAND cmake_policy)
-
-project(CNPY)
-
-set(CMAKE_CXX_FLAGS "${CMAKE_CXX_FLAGS} -std=c++11")
-
-option(ENABLE_STATIC "Build static (.a) library" ON)
-
-find_package(ZLIB REQUIRED)
-
-include_directories(${ZLIB_INCLUDE_DIRS})
-
-add_library(cnpy SHARED "cnpy.cpp")
-target_link_libraries(cnpy ${ZLIB_LIBRARIES})
-install(TARGETS "cnpy" LIBRARY DESTINATION lib PERMISSIONS OWNER_READ OWNER_WRITE OWNER_EXECUTE GROUP_READ GROUP_EXECUTE WORLD_READ WORLD_EXECUTE)
-
-if(ENABLE_STATIC)
-    add_library(cnpy-static STATIC "cnpy.cpp")
-    set_target_properties(cnpy-static PROPERTIES OUTPUT_NAME "cnpy")
-    install(TARGETS "cnpy-static" ARCHIVE DESTINATION lib)
-endif(ENABLE_STATIC)
-
-install(FILES "cnpy.h" DESTINATION include)
-install(FILES "mat2npz" "npy2mat" "npz2mat" DESTINATION bin PERMISSIONS OWNER_READ OWNER_WRITE OWNER_EXECUTE GROUP_READ GROUP_EXECUTE WORLD_READ WORLD_EXECUTE)
-
-add_executable(example1 example1.cpp)
-target_link_libraries(example1 cnpy)
diff --git a/cnpy/LICENSE b/cnpy/LICENSE
deleted file mode 100644
index e60eadbccb3f9e19bc6cebaa4505da27dfed2ff1..0000000000000000000000000000000000000000
--- a/cnpy/LICENSE
+++ /dev/null
@@ -1,21 +0,0 @@
-The MIT License
-
-Copyright (c) Carl Rogers, 2011
-
-Permission is hereby granted, free of charge, to any person obtaining a copy
-of this software and associated documentation files (the "Software"), to deal
-in the Software without restriction, including without limitation the rights
-to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
-copies of the Software, and to permit persons to whom the Software is
-furnished to do so, subject to the following conditions:
-
-The above copyright notice and this permission notice shall be included in
-all copies or substantial portions of the Software.
-
-THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
-IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
-FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
-AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
-LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
-OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
-THE SOFTWARE.
diff --git a/cnpy/README.md b/cnpy/README.md
deleted file mode 100644
index 37c4a4340c8e4efe9517ead191109a799512d704..0000000000000000000000000000000000000000
--- a/cnpy/README.md
+++ /dev/null
@@ -1,55 +0,0 @@
-# Purpose:
-
-NumPy offers the `save` method for easy saving of arrays into .npy and `savez` for zipping multiple .npy arrays together into a .npz file. 
-
-`cnpy` lets you read and write to these formats in C++. 
-
-The motivation comes from scientific programming where large amounts of data are generated in C++ and analyzed in Python.
-
-Writing to .npy has the advantage of using low-level C++ I/O (fread and fwrite) for speed and binary format for size. 
-The .npy file header takes care of specifying the size, shape, and data type of the array, so specifying the format of the data is unnecessary.
-
-Loading data written in numpy formats into C++ is equally simple, but requires you to type-cast the loaded data to the type of your choice.
-
-# Installation:
-
-Default installation directory is /usr/local. 
-To specify a different directory, add `-DCMAKE_INSTALL_PREFIX=/path/to/install/dir` to the cmake invocation in step 4.
-
-1. get [cmake](www.cmake.org)
-2. create a build directory, say $HOME/build
-3. cd $HOME/build
-4. cmake /path/to/cnpy
-5. make
-6. make install
-
-# Using:
-
-To use, `#include"cnpy.h"` in your source code. Compile the source code mycode.cpp as
-
-```bash
-g++ -o mycode mycode.cpp -L/path/to/install/dir -lcnpy -lz --std=c++11
-```
-
-# Description:
-
-There are two functions for writing data: `npy_save` and `npz_save`.
-
-There are 3 functions for reading:
-- `npy_load` will load a .npy file. 
-- `npz_load(fname)` will load a .npz and return a dictionary of NpyArray structues. 
-- `npz_load(fname,varname)` will load and return the NpyArray for data varname from the specified .npz file.
-
-The data structure for loaded data is below. 
-Data is accessed via the `data<T>()`-method, which returns a pointer of the specified type (which must match the underlying datatype of the data). 
-The array shape and word size are read from the npy header.
-
-```c++
-struct NpyArray {
-    std::vector<size_t> shape;
-    size_t word_size;
-    template<typename T> T* data();
-};
-```
-
-See [example1.cpp](example1.cpp) for examples of how to use the library. example1 will also be build during cmake installation.
diff --git a/cnpy/cnpy.cpp b/cnpy/cnpy.cpp
deleted file mode 100644
index 2d28578643b1097361f72bc5b2ac3be3899a8758..0000000000000000000000000000000000000000
--- a/cnpy/cnpy.cpp
+++ /dev/null
@@ -1,340 +0,0 @@
-//Copyright (C) 2011  Carl Rogers
-//Released under MIT License
-//license available in LICENSE file, or at http://www.opensource.org/licenses/mit-license.php
-
-#include"cnpy.h"
-#include<complex>
-#include<cstdlib>
-#include<algorithm>
-#include<cstring>
-#include<iomanip>
-#include<stdint.h>
-#include<stdexcept>
-#include <regex>
-
-char cnpy::BigEndianTest() {
-    int x = 1;
-    return (((char *)&x)[0]) ? '<' : '>';
-}
-
-char cnpy::map_type(const std::type_info& t)
-{
-    if(t == typeid(float) ) return 'f';
-    if(t == typeid(double) ) return 'f';
-    if(t == typeid(long double) ) return 'f';
-
-    if(t == typeid(int) ) return 'i';
-    if(t == typeid(char) ) return 'i';
-    if(t == typeid(short) ) return 'i';
-    if(t == typeid(long) ) return 'i';
-    if(t == typeid(long long) ) return 'i';
-
-    if(t == typeid(unsigned char) ) return 'u';
-    if(t == typeid(unsigned short) ) return 'u';
-    if(t == typeid(unsigned long) ) return 'u';
-    if(t == typeid(unsigned long long) ) return 'u';
-    if(t == typeid(unsigned int) ) return 'u';
-
-    if(t == typeid(bool) ) return 'b';
-
-    if(t == typeid(std::complex<float>) ) return 'c';
-    if(t == typeid(std::complex<double>) ) return 'c';
-    if(t == typeid(std::complex<long double>) ) return 'c';
-
-    else return '?';
-}
-
-template<> std::vector<char>& cnpy::operator+=(std::vector<char>& lhs, const std::string rhs) {
-    lhs.insert(lhs.end(),rhs.begin(),rhs.end());
-    return lhs;
-}
-
-template<> std::vector<char>& cnpy::operator+=(std::vector<char>& lhs, const char* rhs) {
-    //write in little endian
-    size_t len = strlen(rhs);
-    lhs.reserve(len);
-    for(size_t byte = 0; byte < len; byte++) {
-        lhs.push_back(rhs[byte]);
-    }
-    return lhs;
-}
-
-void cnpy::parse_npy_header(unsigned char* buffer,size_t& word_size, std::vector<size_t>& shape, bool& fortran_order) {
-    //std::string magic_string(buffer,6);
-    uint8_t major_version = *reinterpret_cast<uint8_t*>(buffer+6);
-    uint8_t minor_version = *reinterpret_cast<uint8_t*>(buffer+7);
-    uint16_t header_len = *reinterpret_cast<uint16_t*>(buffer+8);
-    std::string header(reinterpret_cast<char*>(buffer+9),header_len);
-
-    size_t loc1, loc2;
-
-    //fortran order
-    loc1 = header.find("fortran_order")+16;
-    fortran_order = (header.substr(loc1,4) == "True" ? true : false);
-
-    //shape
-    loc1 = header.find("(");
-    loc2 = header.find(")");
-
-    std::regex num_regex("[0-9][0-9]*");
-    std::smatch sm;
-    shape.clear();
-
-    std::string str_shape = header.substr(loc1+1,loc2-loc1-1);
-    while(std::regex_search(str_shape, sm, num_regex)) {
-        shape.push_back(std::stoi(sm[0].str()));
-        str_shape = sm.suffix().str();
-    }
-
-    //endian, word size, data type
-    //byte order code | stands for not applicable. 
-    //not sure when this applies except for byte array
-    loc1 = header.find("descr")+9;
-    bool littleEndian = (header[loc1] == '<' || header[loc1] == '|' ? true : false);
-    assert(littleEndian);
-
-    //char type = header[loc1+1];
-    //assert(type == map_type(T));
-
-    std::string str_ws = header.substr(loc1+2);
-    loc2 = str_ws.find("'");
-    word_size = atoi(str_ws.substr(0,loc2).c_str());
-}
-
-void cnpy::parse_npy_header(FILE* fp, size_t& word_size, std::vector<size_t>& shape, bool& fortran_order) {  
-    char buffer[256];
-    size_t res = fread(buffer,sizeof(char),11,fp);       
-    if(res != 11)
-        throw std::runtime_error("parse_npy_header: failed fread");
-    std::string header = fgets(buffer,256,fp);
-    assert(header[header.size()-1] == '\n');
-
-    size_t loc1, loc2;
-
-    //fortran order
-    loc1 = header.find("fortran_order");
-    if (loc1 == std::string::npos)
-        throw std::runtime_error("parse_npy_header: failed to find header keyword: 'fortran_order'");
-    loc1 += 16;
-    fortran_order = (header.substr(loc1,4) == "True" ? true : false);
-
-    //shape
-    loc1 = header.find("(");
-    loc2 = header.find(")");
-    if (loc1 == std::string::npos || loc2 == std::string::npos)
-        throw std::runtime_error("parse_npy_header: failed to find header keyword: '(' or ')'");
-
-    std::regex num_regex("[0-9][0-9]*");
-    std::smatch sm;
-    shape.clear();
-
-    std::string str_shape = header.substr(loc1+1,loc2-loc1-1);
-    while(std::regex_search(str_shape, sm, num_regex)) {
-        shape.push_back(std::stoi(sm[0].str()));
-        str_shape = sm.suffix().str();
-    }
-
-    //endian, word size, data type
-    //byte order code | stands for not applicable. 
-    //not sure when this applies except for byte array
-    loc1 = header.find("descr");
-    if (loc1 == std::string::npos)
-        throw std::runtime_error("parse_npy_header: failed to find header keyword: 'descr'");
-    loc1 += 9;
-    bool littleEndian = (header[loc1] == '<' || header[loc1] == '|' ? true : false);
-    assert(littleEndian);
-
-    //char type = header[loc1+1];
-    //assert(type == map_type(T));
-
-    std::string str_ws = header.substr(loc1+2);
-    loc2 = str_ws.find("'");
-    word_size = atoi(str_ws.substr(0,loc2).c_str());
-}
-
-void cnpy::parse_zip_footer(FILE* fp, uint16_t& nrecs, size_t& global_header_size, size_t& global_header_offset)
-{
-    std::vector<char> footer(22);
-    fseek(fp,-22,SEEK_END);
-    size_t res = fread(&footer[0],sizeof(char),22,fp);
-    if(res != 22)
-        throw std::runtime_error("parse_zip_footer: failed fread");
-
-    uint16_t disk_no, disk_start, nrecs_on_disk, comment_len;
-    disk_no = *(uint16_t*) &footer[4];
-    disk_start = *(uint16_t*) &footer[6];
-    nrecs_on_disk = *(uint16_t*) &footer[8];
-    nrecs = *(uint16_t*) &footer[10];
-    global_header_size = *(uint32_t*) &footer[12];
-    global_header_offset = *(uint32_t*) &footer[16];
-    comment_len = *(uint16_t*) &footer[20];
-
-    assert(disk_no == 0);
-    assert(disk_start == 0);
-    assert(nrecs_on_disk == nrecs);
-    assert(comment_len == 0);
-}
-
-cnpy::NpyArray load_the_npy_file(FILE* fp) {
-    std::vector<size_t> shape;
-    size_t word_size;
-    bool fortran_order;
-    cnpy::parse_npy_header(fp,word_size,shape,fortran_order);
-
-    cnpy::NpyArray arr(shape, word_size, fortran_order);
-    size_t nread = fread(arr.data<char>(),1,arr.num_bytes(),fp);
-    if(nread != arr.num_bytes())
-        throw std::runtime_error("load_the_npy_file: failed fread");
-    return arr;
-}
-
-cnpy::NpyArray load_the_npz_array(FILE* fp, uint32_t compr_bytes, uint32_t uncompr_bytes) {
-
-    std::vector<unsigned char> buffer_compr(compr_bytes);
-    std::vector<unsigned char> buffer_uncompr(uncompr_bytes);
-    size_t nread = fread(&buffer_compr[0],1,compr_bytes,fp);
-    if(nread != compr_bytes)
-        throw std::runtime_error("load_the_npy_file: failed fread");
-
-    int err;
-    z_stream d_stream;
-
-    d_stream.zalloc = Z_NULL;
-    d_stream.zfree = Z_NULL;
-    d_stream.opaque = Z_NULL;
-    d_stream.avail_in = 0;
-    d_stream.next_in = Z_NULL;
-    err = inflateInit2(&d_stream, -MAX_WBITS);
-
-    d_stream.avail_in = compr_bytes;
-    d_stream.next_in = &buffer_compr[0];
-    d_stream.avail_out = uncompr_bytes;
-    d_stream.next_out = &buffer_uncompr[0];
-
-    err = inflate(&d_stream, Z_FINISH);
-    err = inflateEnd(&d_stream);
-
-    std::vector<size_t> shape;
-    size_t word_size;
-    bool fortran_order;
-    cnpy::parse_npy_header(&buffer_uncompr[0],word_size,shape,fortran_order);
-
-    cnpy::NpyArray array(shape, word_size, fortran_order);
-
-    size_t offset = uncompr_bytes - array.num_bytes();
-    memcpy(array.data<unsigned char>(),&buffer_uncompr[0]+offset,array.num_bytes());
-
-    return array;
-}
-
-cnpy::npz_t cnpy::npz_load(std::string fname) {
-    FILE* fp = fopen(fname.c_str(),"rb");
-
-    if(!fp) {
-        throw std::runtime_error("npz_load: Error! Unable to open file "+fname+"!");
-    }
-
-    cnpy::npz_t arrays;  
-
-    while(1) {
-        std::vector<char> local_header(30);
-        size_t headerres = fread(&local_header[0],sizeof(char),30,fp);
-        if(headerres != 30)
-            throw std::runtime_error("npz_load: failed fread");
-
-        //if we've reached the global header, stop reading
-        if(local_header[2] != 0x03 || local_header[3] != 0x04) break;
-
-        //read in the variable name
-        uint16_t name_len = *(uint16_t*) &local_header[26];
-        std::string varname(name_len,' ');
-        size_t vname_res = fread(&varname[0],sizeof(char),name_len,fp);
-        if(vname_res != name_len)
-            throw std::runtime_error("npz_load: failed fread");
-
-        //erase the lagging .npy        
-        varname.erase(varname.end()-4,varname.end());
-
-        //read in the extra field
-        uint16_t extra_field_len = *(uint16_t*) &local_header[28];
-        if(extra_field_len > 0) {
-            std::vector<char> buff(extra_field_len);
-            size_t efield_res = fread(&buff[0],sizeof(char),extra_field_len,fp);
-            if(efield_res != extra_field_len)
-                throw std::runtime_error("npz_load: failed fread");
-        }
-
-        uint16_t compr_method = *reinterpret_cast<uint16_t*>(&local_header[0]+8);
-        uint32_t compr_bytes = *reinterpret_cast<uint32_t*>(&local_header[0]+18);
-        uint32_t uncompr_bytes = *reinterpret_cast<uint32_t*>(&local_header[0]+22);
-
-        if(compr_method == 0) {arrays[varname] = load_the_npy_file(fp);}
-        else {arrays[varname] = load_the_npz_array(fp,compr_bytes,uncompr_bytes);}
-    }
-
-    fclose(fp);
-    return arrays;  
-}
-
-cnpy::NpyArray cnpy::npz_load(std::string fname, std::string varname) {
-    FILE* fp = fopen(fname.c_str(),"rb");
-
-    if(!fp) throw std::runtime_error("npz_load: Unable to open file "+fname);
-
-    while(1) {
-        std::vector<char> local_header(30);
-        size_t header_res = fread(&local_header[0],sizeof(char),30,fp);
-        if(header_res != 30)
-            throw std::runtime_error("npz_load: failed fread");
-
-        //if we've reached the global header, stop reading
-        if(local_header[2] != 0x03 || local_header[3] != 0x04) break;
-
-        //read in the variable name
-        uint16_t name_len = *(uint16_t*) &local_header[26];
-        std::string vname(name_len,' ');
-        size_t vname_res = fread(&vname[0],sizeof(char),name_len,fp);      
-        if(vname_res != name_len)
-            throw std::runtime_error("npz_load: failed fread");
-        vname.erase(vname.end()-4,vname.end()); //erase the lagging .npy
-
-        //read in the extra field
-        uint16_t extra_field_len = *(uint16_t*) &local_header[28];
-        fseek(fp,extra_field_len,SEEK_CUR); //skip past the extra field
-        
-        uint16_t compr_method = *reinterpret_cast<uint16_t*>(&local_header[0]+8);
-        uint32_t compr_bytes = *reinterpret_cast<uint32_t*>(&local_header[0]+18);
-        uint32_t uncompr_bytes = *reinterpret_cast<uint32_t*>(&local_header[0]+22);
-
-        if(vname == varname) {
-            NpyArray array  = (compr_method == 0) ? load_the_npy_file(fp) : load_the_npz_array(fp,compr_bytes,uncompr_bytes);
-            fclose(fp);
-            return array;
-        }
-        else {
-            //skip past the data
-            uint32_t size = *(uint32_t*) &local_header[22];
-            fseek(fp,size,SEEK_CUR);
-        }
-    }
-
-    fclose(fp);
-
-    //if we get here, we haven't found the variable in the file
-    throw std::runtime_error("npz_load: Variable name "+varname+" not found in "+fname);
-}
-
-cnpy::NpyArray cnpy::npy_load(std::string fname) {
-
-    FILE* fp = fopen(fname.c_str(), "rb");
-
-    if(!fp) throw std::runtime_error("npy_load: Unable to open file "+fname);
-
-    NpyArray arr = load_the_npy_file(fp);
-
-    fclose(fp);
-    return arr;
-}
-
-
-
diff --git a/cnpy/cnpy.h b/cnpy/cnpy.h
deleted file mode 100644
index 0d3bb4c3c2e9926b03d75db36f875acf1b5fc86b..0000000000000000000000000000000000000000
--- a/cnpy/cnpy.h
+++ /dev/null
@@ -1,269 +0,0 @@
-//Copyright (C) 2011  Carl Rogers
-//Released under MIT License
-//license available in LICENSE file, or at http://www.opensource.org/licenses/mit-license.php
-
-#ifndef LIBCNPY_H_
-#define LIBCNPY_H_
-
-#include<string>
-#include<stdexcept>
-#include<sstream>
-#include<vector>
-#include<cstdio>
-#include<typeinfo>
-#include<iostream>
-#include<cassert>
-#include<zlib.h>
-#include<map>
-#include<memory>
-#include<stdint.h>
-#include<numeric>
-
-namespace cnpy {
-
-    struct NpyArray {
-        NpyArray(const std::vector<size_t>& _shape, size_t _word_size, bool _fortran_order) :
-            shape(_shape), word_size(_word_size), fortran_order(_fortran_order)
-        {
-            num_vals = 1;
-            for(size_t i = 0;i < shape.size();i++) num_vals *= shape[i];
-            data_holder = std::shared_ptr<std::vector<char>>(
-                new std::vector<char>(num_vals * word_size));
-        }
-
-        NpyArray() : shape(0), word_size(0), fortran_order(0), num_vals(0) { }
-
-        template<typename T>
-        T* data() {
-            return reinterpret_cast<T*>(&(*data_holder)[0]);
-        }
-
-        template<typename T>
-        const T* data() const {
-            return reinterpret_cast<T*>(&(*data_holder)[0]);
-        }
-
-        template<typename T>
-        std::vector<T> as_vec() const {
-            const T* p = data<T>();
-            return std::vector<T>(p, p+num_vals);
-        }
-
-        size_t num_bytes() const {
-            return data_holder->size();
-        }
-
-        std::shared_ptr<std::vector<char>> data_holder;
-        std::vector<size_t> shape;
-        size_t word_size;
-        bool fortran_order;
-        size_t num_vals;
-    };
-   
-    using npz_t = std::map<std::string, NpyArray>; 
-
-    char BigEndianTest();
-    char map_type(const std::type_info& t);
-    template<typename T> std::vector<char> create_npy_header(const std::vector<size_t>& shape);
-    void parse_npy_header(FILE* fp,size_t& word_size, std::vector<size_t>& shape, bool& fortran_order);
-    void parse_npy_header(unsigned char* buffer,size_t& word_size, std::vector<size_t>& shape, bool& fortran_order);
-    void parse_zip_footer(FILE* fp, uint16_t& nrecs, size_t& global_header_size, size_t& global_header_offset);
-    npz_t npz_load(std::string fname);
-    NpyArray npz_load(std::string fname, std::string varname);
-    NpyArray npy_load(std::string fname);
-
-    template<typename T> std::vector<char>& operator+=(std::vector<char>& lhs, const T rhs) {
-        //write in little endian
-        for(size_t byte = 0; byte < sizeof(T); byte++) {
-            char val = *((char*)&rhs+byte); 
-            lhs.push_back(val);
-        }
-        return lhs;
-    }
-
-    template<> std::vector<char>& operator+=(std::vector<char>& lhs, const std::string rhs);
-    template<> std::vector<char>& operator+=(std::vector<char>& lhs, const char* rhs);
-
-
-    template<typename T> void npy_save(std::string fname, const T* data, const std::vector<size_t> shape, std::string mode = "w") {
-        FILE* fp = NULL;
-        std::vector<size_t> true_data_shape; //if appending, the shape of existing + new data
-
-        if(mode == "a") fp = fopen(fname.c_str(),"r+b");
-
-        if(fp) {
-            //file exists. we need to append to it. read the header, modify the array size
-            size_t word_size;
-            bool fortran_order;
-            parse_npy_header(fp,word_size,true_data_shape,fortran_order);
-            assert(!fortran_order);
-
-            if(word_size != sizeof(T)) {
-                std::cout<<"libnpy error: "<<fname<<" has word size "<<word_size<<" but npy_save appending data sized "<<sizeof(T)<<"\n";
-                assert( word_size == sizeof(T) );
-            }
-            if(true_data_shape.size() != shape.size()) {
-                std::cout<<"libnpy error: npy_save attempting to append misdimensioned data to "<<fname<<"\n";
-                assert(true_data_shape.size() != shape.size());
-            }
-
-            for(size_t i = 1; i < shape.size(); i++) {
-                if(shape[i] != true_data_shape[i]) {
-                    std::cout<<"libnpy error: npy_save attempting to append misshaped data to "<<fname<<"\n";
-                    assert(shape[i] == true_data_shape[i]);
-                }
-            }
-            true_data_shape[0] += shape[0];
-        }
-        else {
-            fp = fopen(fname.c_str(),"wb");
-            true_data_shape = shape;
-        }
-
-        std::vector<char> header = create_npy_header<T>(true_data_shape);
-        size_t nels = std::accumulate(shape.begin(),shape.end(),1,std::multiplies<size_t>());
-
-        fseek(fp,0,SEEK_SET);
-        fwrite(&header[0],sizeof(char),header.size(),fp);
-        fseek(fp,0,SEEK_END);
-        fwrite(data,sizeof(T),nels,fp);
-        fclose(fp);
-    }
-
-    template<typename T> void npz_save(std::string zipname, std::string fname, const T* data, const std::vector<size_t>& shape, std::string mode = "w")
-    {
-        //first, append a .npy to the fname
-        fname += ".npy";
-
-        //now, on with the show
-        FILE* fp = NULL;
-        uint16_t nrecs = 0;
-        size_t global_header_offset = 0;
-        std::vector<char> global_header;
-
-        if(mode == "a") fp = fopen(zipname.c_str(),"r+b");
-
-        if(fp) {
-            //zip file exists. we need to add a new npy file to it.
-            //first read the footer. this gives us the offset and size of the global header
-            //then read and store the global header.
-            //below, we will write the the new data at the start of the global header then append the global header and footer below it
-            size_t global_header_size;
-            parse_zip_footer(fp,nrecs,global_header_size,global_header_offset);
-            fseek(fp,global_header_offset,SEEK_SET);
-            global_header.resize(global_header_size);
-            size_t res = fread(&global_header[0],sizeof(char),global_header_size,fp);
-            if(res != global_header_size){
-                throw std::runtime_error("npz_save: header read error while adding to existing zip");
-            }
-            fseek(fp,global_header_offset,SEEK_SET);
-        }
-        else {
-            fp = fopen(zipname.c_str(),"wb");
-        }
-
-        std::vector<char> npy_header = create_npy_header<T>(shape);
-
-        size_t nels = std::accumulate(shape.begin(),shape.end(),1,std::multiplies<size_t>());
-        size_t nbytes = nels*sizeof(T) + npy_header.size();
-
-        //get the CRC of the data to be added
-        uint32_t crc = crc32(0L,(uint8_t*)&npy_header[0],npy_header.size());
-        crc = crc32(crc,(uint8_t*)data,nels*sizeof(T));
-
-        //build the local header
-        std::vector<char> local_header;
-        local_header += "PK"; //first part of sig
-        local_header += (uint16_t) 0x0403; //second part of sig
-        local_header += (uint16_t) 20; //min version to extract
-        local_header += (uint16_t) 0; //general purpose bit flag
-        local_header += (uint16_t) 0; //compression method
-        local_header += (uint16_t) 0; //file last mod time
-        local_header += (uint16_t) 0;     //file last mod date
-        local_header += (uint32_t) crc; //crc
-        local_header += (uint32_t) nbytes; //compressed size
-        local_header += (uint32_t) nbytes; //uncompressed size
-        local_header += (uint16_t) fname.size(); //fname length
-        local_header += (uint16_t) 0; //extra field length
-        local_header += fname;
-
-        //build global header
-        global_header += "PK"; //first part of sig
-        global_header += (uint16_t) 0x0201; //second part of sig
-        global_header += (uint16_t) 20; //version made by
-        global_header.insert(global_header.end(),local_header.begin()+4,local_header.begin()+30);
-        global_header += (uint16_t) 0; //file comment length
-        global_header += (uint16_t) 0; //disk number where file starts
-        global_header += (uint16_t) 0; //internal file attributes
-        global_header += (uint32_t) 0; //external file attributes
-        global_header += (uint32_t) global_header_offset; //relative offset of local file header, since it begins where the global header used to begin
-        global_header += fname;
-
-        //build footer
-        std::vector<char> footer;
-        footer += "PK"; //first part of sig
-        footer += (uint16_t) 0x0605; //second part of sig
-        footer += (uint16_t) 0; //number of this disk
-        footer += (uint16_t) 0; //disk where footer starts
-        footer += (uint16_t) (nrecs+1); //number of records on this disk
-        footer += (uint16_t) (nrecs+1); //total number of records
-        footer += (uint32_t) global_header.size(); //nbytes of global headers
-        footer += (uint32_t) (global_header_offset + nbytes + local_header.size()); //offset of start of global headers, since global header now starts after newly written array
-        footer += (uint16_t) 0; //zip file comment length
-
-        //write everything
-        fwrite(&local_header[0],sizeof(char),local_header.size(),fp);
-        fwrite(&npy_header[0],sizeof(char),npy_header.size(),fp);
-        fwrite(data,sizeof(T),nels,fp);
-        fwrite(&global_header[0],sizeof(char),global_header.size(),fp);
-        fwrite(&footer[0],sizeof(char),footer.size(),fp);
-        fclose(fp);
-    }
-
-    template<typename T> void npy_save(std::string fname, const std::vector<T> data, std::string mode = "w") {
-        std::vector<size_t> shape;
-        shape.push_back(data.size());
-        npy_save(fname, &data[0], shape, mode);
-    }
-
-    template<typename T> void npz_save(std::string zipname, std::string fname, const std::vector<T> data, std::string mode = "w") {
-        std::vector<size_t> shape;
-        shape.push_back(data.size());
-        npz_save(zipname, fname, &data[0], shape, mode);
-    }
-
-    template<typename T> std::vector<char> create_npy_header(const std::vector<size_t>& shape) {  
-
-        std::vector<char> dict;
-        dict += "{'descr': '";
-        dict += BigEndianTest();
-        dict += map_type(typeid(T));
-        dict += std::to_string(sizeof(T));
-        dict += "', 'fortran_order': False, 'shape': (";
-        dict += std::to_string(shape[0]);
-        for(size_t i = 1;i < shape.size();i++) {
-            dict += ", ";
-            dict += std::to_string(shape[i]);
-        }
-        if(shape.size() == 1) dict += ",";
-        dict += "), }";
-        //pad with spaces so that preamble+dict is modulo 16 bytes. preamble is 10 bytes. dict needs to end with \n
-        int remainder = 16 - (10 + dict.size()) % 16;
-        dict.insert(dict.end(),remainder,' ');
-        dict.back() = '\n';
-
-        std::vector<char> header;
-        header += (char) 0x93;
-        header += "NUMPY";
-        header += (char) 0x01; //major version of numpy format
-        header += (char) 0x00; //minor version of numpy format
-        header += (uint16_t) dict.size();
-        header.insert(header.end(),dict.begin(),dict.end());
-
-        return header;
-    }
-
-
-}
-
-#endif
diff --git a/cnpy/example1.cpp b/cnpy/example1.cpp
deleted file mode 100644
index 70ac5aa4e062ab0f0be665fbd962f80ba7cafe2e..0000000000000000000000000000000000000000
--- a/cnpy/example1.cpp
+++ /dev/null
@@ -1,55 +0,0 @@
-#include"cnpy.h"
-#include<complex>
-#include<cstdlib>
-#include<iostream>
-#include<map>
-#include<string>
-
-const int Nx = 128;
-const int Ny = 64;
-const int Nz = 32;
-
-int main()
-{
-    //set random seed so that result is reproducible (for testing)
-    srand(0);
-    //create random data
-    std::vector<std::complex<double>> data(Nx*Ny*Nz);
-    for(int i = 0;i < Nx*Ny*Nz;i++) data[i] = std::complex<double>(rand(),rand());
-
-    //save it to file
-    cnpy::npy_save("arr1.npy",&data[0],{Nz,Ny,Nx},"w");
-
-    //load it into a new array
-    cnpy::NpyArray arr = cnpy::npy_load("arr1.npy");
-    std::complex<double>* loaded_data = arr.data<std::complex<double>>();
-    
-    //make sure the loaded data matches the saved data
-    assert(arr.word_size == sizeof(std::complex<double>));
-    assert(arr.shape.size() == 3 && arr.shape[0] == Nz && arr.shape[1] == Ny && arr.shape[2] == Nx);
-    for(int i = 0; i < Nx*Ny*Nz;i++) assert(data[i] == loaded_data[i]);
-
-    //append the same data to file
-    //npy array on file now has shape (Nz+Nz,Ny,Nx)
-    cnpy::npy_save("arr1.npy",&data[0],{Nz,Ny,Nx},"a");
-
-    //now write to an npz file
-    //non-array variables are treated as 1D arrays with 1 element
-    double myVar1 = 1.2;
-    char myVar2 = 'a';
-    cnpy::npz_save("out.npz","myVar1",&myVar1,{1},"w"); //"w" overwrites any existing file
-    cnpy::npz_save("out.npz","myVar2",&myVar2,{1},"a"); //"a" appends to the file we created above
-    cnpy::npz_save("out.npz","arr1",&data[0],{Nz,Ny,Nx},"a"); //"a" appends to the file we created above
-
-    //load a single var from the npz file
-    cnpy::NpyArray arr2 = cnpy::npz_load("out.npz","arr1");
-
-    //load the entire npz file
-    cnpy::npz_t my_npz = cnpy::npz_load("out.npz");
-    
-    //check that the loaded myVar1 matches myVar1
-    cnpy::NpyArray arr_mv1 = my_npz["myVar1"];
-    double* mv1 = arr_mv1.data<double>();
-    assert(arr_mv1.shape.size() == 1 && arr_mv1.shape[0] == 1);
-    assert(mv1[0] == myVar1);
-}
diff --git a/cnpy/mat2npz b/cnpy/mat2npz
deleted file mode 100644
index c1bbd3e4dc96547e57ebe1bb186203cddbd5ba0a..0000000000000000000000000000000000000000
--- a/cnpy/mat2npz
+++ /dev/null
@@ -1,18 +0,0 @@
-#!/usr/bin/env python
-
-import sys
-from numpy import savez
-from scipy.io import loadmat
-
-assert len(sys.argv) > 1
-
-files = sys.argv[1:]
-
-for f in files:
-    mat_vars = loadmat(f)
-    mat_vars.pop('__version__')
-    mat_vars.pop('__header__')
-    mat_vars.pop('__globals__')
-
-    fn = f.replace('.mat','.npz')
-    savez(fn,**mat_vars)
diff --git a/cnpy/npy2mat b/cnpy/npy2mat
deleted file mode 100644
index fdd5da758464e4a1cdaa49d8d8aee5abf8eae5bc..0000000000000000000000000000000000000000
--- a/cnpy/npy2mat
+++ /dev/null
@@ -1,15 +0,0 @@
-#!/usr/bin/env python
-
-import sys
-from numpy import load
-from scipy.io import savemat
-
-assert len(sys.argv) > 1
-
-files = sys.argv[1:]
-
-for f in files:
-   data = load(f)
-   fn = f.replace('.npy','')
-   fn = fn.replace('.','_')
-   savemat(fn,{fn : data})
diff --git a/cnpy/npz2mat b/cnpy/npz2mat
deleted file mode 100755
index 2e317d6ee278aeaf95fb0a465990b0856dc1bcfb..0000000000000000000000000000000000000000
--- a/cnpy/npz2mat
+++ /dev/null
@@ -1,15 +0,0 @@
-#!/usr/bin/env python
-
-import sys
-from numpy import load
-from scipy.io import savemat
-
-assert len(sys.argv) > 1
-
-files = sys.argv[1:]
-
-for f in files:
-   data = load(f)
-   fn = f.replace('.npz','')
-   fn = fn.replace('.','_') #matlab cant handle dots
-   savemat(fn,data)
diff --git a/finn-hlslib/CONTRIBUTING.md b/finn-hlslib/CONTRIBUTING.md
deleted file mode 100644
index 86209240d1fa1b04f153fc341704c552c532678d..0000000000000000000000000000000000000000
--- a/finn-hlslib/CONTRIBUTING.md
+++ /dev/null
@@ -1,2 +0,0 @@
-To get started, <a href="https://www.clahub.com/agreements/Xilinx/finn-hlslib">sign the Contributor License Agreement</a>.
-
diff --git a/finn-hlslib/Jenkinsfile b/finn-hlslib/Jenkinsfile
deleted file mode 100755
index fd0d4c2005c3833b502a4df8531176048ca31553..0000000000000000000000000000000000000000
--- a/finn-hlslib/Jenkinsfile
+++ /dev/null
@@ -1,91 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-
-node {
-    def app
-
-    stage('Clone repository') {
-        /* Let's make sure we have the repository cloned to our workspace */
-        checkout scm
-    }
-
-    parallel firstBranch: {
-        stage('Run tests SWG') {
-              env.FINN_HLS_ROOT = "${env.WORKSPACE}"
-            echo "${env.FINN_HLS_ROOT}"
-            sh('source /proj/xbuilds/2019.1_released/installs/lin64/Vivado/2019.1/settings64.sh; cd tb; vivado_hls -f test_swg.tcl')
-    }
-    }, secondBranch: {
-        stage('Run tests POOL') {
-              env.FINN_HLS_ROOT = "${env.WORKSPACE}"
-            echo "${env.FINN_HLS_ROOT}"
-            sh('source /proj/xbuilds/2019.1_released/installs/lin64/Vivado/2019.1/settings64.sh; cd tb; vivado_hls -f test_pool.tcl')
-    }
-    }, thirdBranch: {
-        stage('Run tests DWC') {
-              env.FINN_HLS_ROOT = "${env.WORKSPACE}"
-            echo "${env.FINN_HLS_ROOT}"
-            sh('source /proj/xbuilds/2019.1_released/installs/lin64/Vivado/2019.1/settings64.sh; cd tb; vivado_hls -f test_dwc.tcl')
-    }
-    }, fourthBranch: {
-        stage('Run tests ADD') {
-              env.FINN_HLS_ROOT = "${env.WORKSPACE}"
-            echo "${env.FINN_HLS_ROOT}"
-            sh('source /proj/xbuilds/2019.1_released/installs/lin64/Vivado/2019.1/settings64.sh; cd tb; vivado_hls -f test_add.tcl')
-    }
-    }, fifthBranch: {
-        stage('Run tests DUP_STREAM') {
-              env.FINN_HLS_ROOT = "${env.WORKSPACE}"
-            echo "${env.FINN_HLS_ROOT}"
-            sh('source /proj/xbuilds/2019.1_released/installs/lin64/Vivado/2019.1/settings64.sh; cd tb; vivado_hls -f test_dup_stream.tcl')
-    }
-    }, sixthBranch: {
-        stage('Set-up virtual env') {
-            env.FINN_HLS_ROOT = "${env.WORKSPACE}"
-            echo "${env.FINN_HLS_ROOT}"
-            sh('virtualenv venv; source venv/bin/activate;pip3.7 install -r requirements.txt')
-        }
-        stage('Generate weigths fro conv test') {
-            sh('source venv/bin/activate; cd tb; python3.7 gen_weigths.py;')
-        }
-        stage('Run tests CONV3') {
-            env.FINN_HLS_ROOT = "${env.WORKSPACE}"
-            echo "${env.FINN_HLS_ROOT}"
-            sh('source /proj/xbuilds/2019.1_released/installs/lin64/Vivado/2019.1/settings64.sh; cd tb; vivado_hls -f test_conv3.tcl')
-        }
-        stage('Run tests CONVMMV') {
-            env.FINN_HLS_ROOT = "${env.WORKSPACE}"
-            echo "${env.FINN_HLS_ROOT}"
-            sh('source /proj/xbuilds/2019.1_released/installs/lin64/Vivado/2019.1/settings64.sh; cd tb; vivado_hls -f test_convmmv.tcl')
-        }
-    }
-}
diff --git a/finn-hlslib/LICENSE b/finn-hlslib/LICENSE
deleted file mode 100755
index 7023ac47c245d24c877164d4df1ca468953ba7a3..0000000000000000000000000000000000000000
--- a/finn-hlslib/LICENSE
+++ /dev/null
@@ -1,30 +0,0 @@
-Copyright (c) 2019, Xilinx, Inc.
-All rights reserved.
-
-Redistribution and use in source and binary forms, with or without
-modification, are permitted provided that the following conditions are met:
-
-1.  Redistributions of source code must retain the above copyright notice,
-   this list of conditions and the following disclaimer.
-
-2.  Redistributions in binary form must reproduce the above copyright
-    notice, this list of conditions and the following disclaimer in the
-    documentation and/or other materials provided with the distribution.
-
-3.  Neither the name of the copyright holder nor the names of its
-    contributors may be used to endorse or promote products derived from
-    this software without specific prior written permission.
-
-THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
-CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-
diff --git a/finn-hlslib/README.md b/finn-hlslib/README.md
deleted file mode 100755
index 46916314c5a9e90e5e9442aca6b9cc974b49ae80..0000000000000000000000000000000000000000
--- a/finn-hlslib/README.md
+++ /dev/null
@@ -1,7 +0,0 @@
-# finn-hlslib [![Documentation Status](https://readthedocs.org/projects/finn-hlslib/badge/?version=latest)](https://finn-hlslib.readthedocs.io/en/latest/?badge=latest)
-
-
-This repo contains the HLS library for hardware acceleration of Quantized Neural Network (QNN) using FINN. 
-
-For more information please refer to the documentation available <a href="https://finn-hlslib.readthedocs.io" target="_blank"> here </a>. 
-
diff --git a/finn-hlslib/activations.hpp b/finn-hlslib/activations.hpp
deleted file mode 100755
index f2461258bb28ba4923182e33a72ef9378f92c81b..0000000000000000000000000000000000000000
--- a/finn-hlslib/activations.hpp
+++ /dev/null
@@ -1,205 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- *******************************************************************************/
-
-/*******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *           Thomas B. Preusser <thomas.preusser@utexas.edu>
- *             Marie-Curie Fellow, Xilinx Ireland, Grant Agreement No. 751339
- *           Christoph Doehring <cdoehrin@xilinx.com>
- *
- *  @file activations.hpp
- *
- *  Library of templated HLS classes for BNN deployment. 
- *  This file lists a set of classes used to implement  
- *  threshold memory in neural network. 
- *
- *  This project has received funding from the European Union's Framework
- *  Programme for Research and Innovation Horizon 2020 (2014-2020) under
- *  the Marie Skłodowska-Curie Grant Agreement No. 751339.
- *
- *******************************************************************************/
-
-#ifndef ACTIVATIONS_HPP
-#define ACTIVATIONS_HPP
-
-#include "interpret.hpp"
-
-/**
- * General contract for activation functions.
- *
- * This class itself has no formal significance for the implementation
- * of the MVAU. Implementations of activation functions are encouraged
- * to implement it nonetheless to guarantee appropriate function
- * signatures.
- */
-template<typename TA, typename TO>
-class Activation {
-public:
-  TA init(unsigned const  nf, unsigned const  pe) const {
-#pragma HLS inline
-    return  TA(0);
-  }
-
-  /**
-   * Compute the activation of the passed accumulator value accu in row idx.
-   */
-  TO activate(unsigned const  nf, unsigned const  pe, TA const &accu) const;
-};
-
-/**
- * A no-op activation that simply outputs the computed accumulator
- * output as the final result.
- */
-template<typename T>
-class PassThroughActivation : public Activation<T, T> {
-public:
-  T activate(unsigned const  nf, unsigned const  pe, T const &accu) const {
-#pragma HLS inline
-    return  accu;
-  }
-};
-
-/**
- * Use a simple global threshold comparison as activation function.
- *
- * The constant threshold is initialized at construction.
- * The default comparison returns true if the threshold value is
- * smaller than the passed accumulator value.
- */
-template<typename TA, typename Compare = std::less<TA>>
-class ThresholdActivation : public Activation<TA, bool> {
-  TA const  m_threshold;
-public:
-  ThresholdActivation(TA const &threshold) : m_threshold(threshold) {
-#pragma HLS inline
-  }
-
-public:
-  bool activate(unsigned const  nf, unsigned const  pe, TA const &accu) const {
-#pragma HLS inline
-    return  Compare()(m_threshold, accu);
-  }
-};
-
-/**
- * Use a simple per-row threshold comparison as activation function.
- *
- * The thresholds are taken from an array indexed by output row.
- * It is currently public to allow direct initialization and
- * to make its name accessible for top-level HLS pragmas.
- *
- * The default comparison returns true if the threshold value defined for
- * the indexed row is smaller than the passed accumulator value.
- */
-template<unsigned NF, unsigned PE, unsigned NumTH, 
-	 typename TA, typename TR, int ActVal = 0, typename Compare = std::less<TA>>
-class ThresholdsActivation {
-public:
-  TA m_thresholds[PE][NF][NumTH];
-  
-public:
-  TA init(unsigned const  nf, unsigned const  pe) const {
-#pragma HLS inline
-    return  TA(0);
-  }
-
-public:
-  TR activate(unsigned const  nf, unsigned const  pe,  TA const &accu) const {
-#pragma HLS inline
-    TR result=ActVal;
-	for(unsigned int i=0; i< NumTH; i++){
-#pragma HLS unroll
-      result+=Compare()(m_thresholds[pe][nf][i], accu);
-    }
-    return result;
-  }
-};
-
-/**
- * \brief Thresholding function for multiple images
- *
- * The function performs thresholds comparison with input activation vector, 
- * and generating output based on the comparison results
- *
- * \tparam ImgDim         Width and Heigth of the Input Feature Map (assumed square)
- * \tparam NumChannels    Heigth of the input matrix
- * \tparam PE             Number of output rows computed in parallel
- * \tparam TSrcI          DataType of the input activation (as used in the MAC)
- * \tparam TDstI          DataType of the output activation (as generated by the activation)
- * \tparam TI             DataType of the input stream - safely deducible from the paramaters
- * \tparam TO             DataType of the output stream - safely deducible from the paramaters
- * \tparam TA             DataType of the activation class (e.g. thresholds) - safely deducible from the paramaters
- *
- * \param in              Input stream
- * \param out             Output stream
- * \param activation      Activation class
- * \param reps            Number of time the function has to be repeatedly executed (e.g. number of images)
- */
-template <
-    unsigned ImgDim, unsigned NumChannels, unsigned PE,
-    typename TSrcI = Identity, typename TDstI = Identity,
-    typename TI, typename TO, typename TA>
-void Thresholding_Batch(hls::stream<TI> &in,
-                        hls::stream<TO> &out,
-                        TA const &activation,
-                        int const reps)
-{
-
-  // how many different rows each neuron will compute
-  // alternatively: number of vertical matrix chunks
-  unsigned const NF = NumChannels / PE;
-
-  unsigned nf = 0;
-  unsigned tile = 0; // invariant: tile = nf*SF + sf
-
-  // everything merged into a common iteration space (one "big" loop instead
-  // of smaller nested loops) to get the pipelinening the way we want
-  for (unsigned i = 0; i < reps * ImgDim * ImgDim * NF; i++)
-  {
-    TI inElem;
-    inElem = in.read();
-    auto outElem = TDstI().template operator()<TO>();
-    for (unsigned pe = 0; pe < PE; pe++)
-    {
-#pragma HLS UNROLL
-      auto const act = TSrcI()(inElem);
-      outElem[pe] = activation.activate(nf, pe, act[pe]);
-    }
-    out.write(outElem);
-    if (++nf == NF)
-    {
-      nf = 0;
-    }
-  }
-}
-#endif
diff --git a/finn-hlslib/bnn-library.h b/finn-hlslib/bnn-library.h
deleted file mode 100755
index 1080655d82e2cbc9ed063a229b88cbe0d76946e5..0000000000000000000000000000000000000000
--- a/finn-hlslib/bnn-library.h
+++ /dev/null
@@ -1,63 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- *****************************************************************************/
-
-/*****************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *           Thomas B. Preusser <thomas.preusser@utexas.edu>
- *             Marie-Curie Fellow, Xilinx Ireland, Grant Agreement No. 751339
- *           Christoph Doehring <cdoehrin@xilinx.com>
- *
- *  @file bnn-library.h
- *
- *  Library of templated HLS functions for BNN deployment. 
- *  Include this file in the network top funtion.
- *
- *****************************************************************************/
-
-#include <hls_stream.h>
-#include "ap_int.h"
-#include <iostream>
-#include <string>
-
-using namespace hls;
-using namespace std;
-
-#define CASSERT_DATAFLOW(x) {if (!(x)) {std::cout<< "CASSERT_DATAFLOW condition is not met " << endl; exit(-1);	}}
-
-#include "mmv.hpp"			   
-#include "streamtools.h"
-#include "dma.h"
-#include "slidingwindow.h"
-#include "maxpool.h"
-#include "fclayer.h"
-#include "convlayer.h"
diff --git a/finn-hlslib/convlayer.h b/finn-hlslib/convlayer.h
deleted file mode 100755
index e0517a469b72d395dea0922037a71c12eaa1d65c..0000000000000000000000000000000000000000
--- a/finn-hlslib/convlayer.h
+++ /dev/null
@@ -1,202 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- *****************************************************************************/
-
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *           Thomas B. Preusser <thomas.preusser@utexas.edu>
- *             Marie-Curie Fellow, Xilinx Ireland, Grant Agreement No. 751339
- *           Christoph Doehring <cdoehrin@xilinx.com>
- *
- *  \file convlayer.h
- *
- *  Library of templated HLS functions for BNN deployment.
- *  This file lists a set of convenience functions used to implement
- *  convolutional layers.
- *
- *****************************************************************************/
-
-#ifndef CONVLAYER_H
-#define CONVLAYER_H
-
-#include <ap_int.h>
-#include <hls_stream.h>
-
-#include "streamtools.h"
-#include "mvau.hpp"
-/**
- * \brief 	Convolutional layer implementation
- *
- * The function implements a generic convolutional layer, and it's basically composed of the sliding window generator
- * implemeting the im2col algorithm and the Matrix_Vector_Activate_Batch function to perform computation.
- * 
- * \tparam ConvKernelDim 	Dimension of the convolutional kernel (assumed square)
- * \tparam IFMChannels 		Number of Input Feature Maps
- * \tparam IFMDim 			Width and Height of the Input Feature Map (assumed square)
- * \tparam OFMChannels 		Number of Output Feature Maps
- * \tparam OFMDim 			Width and Height of the Output Feature Map (assumed square)
- * \tparam SIMD 			Number of input columns computed in parallel
- * \tparam PE 				Number of output rows computed in parallel
- * \tparam TSrcI 			DataType of the input activation (as used in the MAC)
- * \tparam TDstI 			DataType of the output activation (as generated by the activation)
- * \tparam TWeightI 		DataType of the weights (as used in the MAC)
- * \tparam InStreamW 		Width of the input stream
- * \tparam OutStreamW 		Width of the output stream
- * \tparam TW 				DataType of the weights matrix - safely deducible from the paramaters
- * \tparam TA 				DataType of the activation class (e.g. thresholds) - safely deducible from the paramaters
- * \tparam R 				DataType for the resource used for FPGA implementation of the MAC  - safely deducible from the paramaters
- *
- * \param in 				Input stream
- * \param out 				Output stream
- * \param weights 			Weights matrix (currently supports BinaryWeights or FixedPointWeights)
- * \param activation 		Activation class
- * \param reps 				Number of time the function has to be repeatedly executed (e.g. number of images)
- * \param r 				Resource type for the hardware implementation of the MAC block
- */
-
-template<
-		unsigned int ConvKernelDim,		
-		unsigned int IFMChannels,		
-		unsigned int IFMDim,			
-		unsigned int OFMChannels,		
-		unsigned int OFMDim,			
-		
-		unsigned int SIMD, 				// number of SIMD lanes
-		unsigned int PE,				// number of PEs
-		
-		typename TSrcI = Identity,      // redefine I/O interpretation as needed for input activations
-		typename TDstI = Identity,		// redefine I/O interpretation as needed for output activations
-		typename TWeightI = Identity,	// redefine I/O interpretation as needed for weigths
-
-		int InStreamW, int OutStreamW,  // safely deducible (stream width must be int though!)
-		typename TW,   typename TA,  typename R
->
-void ConvLayer_Batch(hls::stream<ap_uint<InStreamW>>  &in,
-			    hls::stream<ap_uint<OutStreamW>> &out,
-			    TW const        &weights,
-			    TA const        &activation,
-			    unsigned const   reps,
-				R const &r) {
-#pragma HLS INLINE
-  unsigned const MatrixW = ConvKernelDim * ConvKernelDim * IFMChannels;
-  unsigned const MatrixH = OFMChannels;
-  unsigned const InpPerImage = IFMDim*IFMDim*IFMChannels/InStreamW * TSrcI::width;
-  WidthAdjustedInputStream <InStreamW, SIMD*TSrcI::width, InpPerImage>  wa_in (in,  reps);
-  WidthAdjustedOutputStream <PE*TDstI::width, OutStreamW, OFMDim * OFMDim * (OFMChannels / PE)>  mvOut (out,  reps);
-  hls::stream<ap_uint<SIMD*TSrcI::width> > convInp("StreamingConvLayer_Batch.convInp");
-  ConvolutionInputGenerator<ConvKernelDim, IFMChannels, TSrcI::width, IFMDim,
-			OFMDim, SIMD,1>(wa_in, convInp, reps);
-  Matrix_Vector_Activate_Batch<MatrixW, MatrixH, SIMD, PE, 1, TSrcI, TDstI, TWeightI>
-    (static_cast<hls::stream<ap_uint<SIMD*TSrcI::width>>&>(convInp),
-     static_cast<hls::stream<ap_uint<PE*TDstI::width>>&>  (mvOut),
-     weights, activation, reps* OFMDim * OFMDim, r);
-}
-
-
-/**
- * \brief 	Convolutional layer implementation
- *
- * The function implements a generic convolutional layer, and it's basically composed of the sliding window generator
- * implemeting the im2col algorithm and the Matrix_Vector_Activate_Batch function to perform computation.
- *
- * \tparam ConvKernelDim 	Dimension of the convolutional kernel (assumed square)
- * \tparam IFMChannels 		Number of Input Feature Maps
- * \tparam IFMDim 			Width and Height of the Input Feature Map (assumed square)
- * \tparam OFMChannels 		Number of Output Feature Maps
- * \tparam OFMDim 			Width and Height of the Output Feature Map (assumed square)
- * \tparam STRIDE 			Stride of the convolutional kernel
- *
- * \tparam SIMD 			Number of input columns computed in parallel
- * \tparam PE 				Number of output rows computed in parallel
- * \tparam MMV 				Number of output pixels computed in parallel
- *
- * \tparam TSrcI 			DataType of the input activation (as used in the MAC)
- * \tparam TDstI 			DataType of the output activation (as generated by the activation)
- * \tparam TWeightI 		DataType of the weights (as used in the MAC)
- * \tparam InStreamW 		Width of the input stream
- * \tparam OutStreamW 		Width of the output stream
- * \tparam TW 				DataType of the weights matrix - safely deducible from the paramaters
- * \tparam TA 				DataType of the activation class (e.g. thresholds) - safely deducible from the paramaters
- * \tparam R 				DataType for the resource used for FPGA implementation of the MAC  - safely deducible from the paramaters
- *
- * \param in 				Input stream
- * \param out 				Output stream
- * \param weights 			Weights matrix (currently supports BinaryWeights or FixedPointWeights)
- * \param activation 		Activation class
- * \param reps 				Number of time the function has to be repeatedly executed (e.g. number of images)
- * \param r 				Resource type for the hardware implementation of the MAC block
- */
-template<
-		unsigned int ConvKernelDim,
-		unsigned int IFMChannels,
-		unsigned int IFMDim,
-		unsigned int OFMChannels,
-		unsigned int OFMDim,
-		unsigned int STRIDE,
-
-		unsigned int SIMD,				// number of SIMD lanes
-		unsigned int PE,				// number of PEs
-		unsigned int MMV,
-
-		typename TSrcI = Identity,      // redefine I/O interpretation as needed for input activations
-		typename TDstI = Identity,		// redefine I/O interpretation as needed for output activations
-		typename TWeightI = Identity,	// redefine I/O interpretation as needed for weigths
-
-		int InStreamW, int OutStreamW,  // safely deducible (stream width must be int though!)
-		typename TW,   typename TA,  typename R
->
-void ConvLayer_Batch_MMV(hls::stream<ap_uint<InStreamW>>  &in,
-			    hls::stream<ap_uint<OutStreamW>> &out,
-			    TW const        &weights,
-			    TA const        &activation,
-			    unsigned const   reps,
-				R const &r) {
-#pragma HLS INLINE
-  unsigned const MatrixW = ConvKernelDim * ConvKernelDim * IFMChannels;
-  unsigned const MatrixH = OFMChannels;
-  unsigned const InpPerImage = IFMDim*IFMDim*IFMChannels/InStreamW * TSrcI::width;
-  const unsigned int mmvReps = (reps * OFMDim * OFMDim) / MMV;
-  WidthAdjustedInputStream <InStreamW, SIMD*TSrcI::width, InpPerImage>  wa_in (in,  reps);
-  WidthAdjustedOutputStream <PE*TDstI::width*MMV, OutStreamW, OFMDim * OFMDim * (OFMChannels / PE)/MMV>  mvOut (out,  reps);
-  stream<MultiChanData<MMV, PE * TDstI::width> > mmv2dwc("mmv2dwc");
-  hls::stream<MultiChanData<MMV, SIMD *TSrcI::width> > convInp("StreamingConvLayer_Batch.convInp");
-  ConvolutionInputGenerator_MMV<ConvKernelDim, IFMChannels, TSrcI::width, IFMDim,
-			OFMDim, SIMD, STRIDE, MMV>(wa_in, convInp, reps);
-  Matrix_Vector_Activate_Batch<MatrixW, MatrixH, SIMD, PE, MMV, TSrcI, TDstI, TWeightI>
-    (static_cast<hls::stream<MultiChanData<MMV,SIMD*TSrcI::width>>&>(convInp),
-     static_cast<hls::stream<MultiChanData<MMV,PE*TDstI::width>>&>(mmv2dwc),
-     weights, activation, mmvReps, r);
-  FlattenMultiChanData<MMV, PE * TDstI::width>(mmv2dwc, mvOut, mmvReps); // I guess it should be flattened after the MMV DWC
-
-}
-
-#endif
diff --git a/finn-hlslib/dma.h b/finn-hlslib/dma.h
deleted file mode 100755
index 6d2ac77e8f0d716d7b7eb76bf5297e90a8109fbf..0000000000000000000000000000000000000000
--- a/finn-hlslib/dma.h
+++ /dev/null
@@ -1,201 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *           Thomas B. Preusser <thomas.preusser@utexas.edu>
- *             Marie-Curie Fellow, Xilinx Ireland, Grant Agreement No. 751339
- *           Christoph Doehring <cdoehrin@xilinx.com>
- *
- *  \file dma.h
- *
- *  Library of templated HLS functions for BNN deployment. 
- *  This file lists a set of functions to access memory mapped values into 
- *  streams. 
- *
- *****************************************************************************/
-#ifndef DMA_HPP
-#define DMA_HPP
-
-
-#include <ap_int.h>
-#include <hls_stream.h>
-
-/*!
- * \brief DMA block accessing AXI4 memory and output HLS streams
- *
- * 
- * \tparam DataWidth Width, in number of bits, of the AXI4 memory pointer and the output HLS stream
- * \tparam numBytes Number of bytes to be read from the memory
- *
- * \param in Input memory pointer
- * \param out Output HLS stream
- */
-template<unsigned int DataWidth, unsigned int numBytes>
-void Mem2Stream(ap_uint<DataWidth> * in, hls::stream<ap_uint<DataWidth> > & out);
-
-/*!
- * \brief DMA block accessing AXI4 memory and output HLS streams multiple times
- * 
- * It basically calls Mem2Stream function multiple times, possibly with bigger sizes so to increase
- * the burst size
- * 
- * \tparam DataWidth Width, in number of bits, of the AXI4 memory pointer and the output HLS stream
- * \tparam numBytes Number of bytes to be read from the memory
- *
- * \param in Input memory pointer
- * \param out Output HLS stream
- * \param numReps Number of times the Stream2Mem function has to be called
- */
-template<unsigned int DataWidth, unsigned int numBytes>
-void Mem2Stream_Batch(ap_uint<DataWidth> * in, hls::stream<ap_uint<DataWidth> > & out, const unsigned int numReps);
-
-/*!
- * \brief DMA block writing HLS streams content in AXI4 pointed memory
- *
- * 
- * \tparam DataWidth Width, in number of bits, of the AXI4 memory pointer and the output HLS stream
- * \tparam numBytes Number of bytes to be read from the memory
- *
- * \param in Input HLS stream
- * \param out Output memory pointer
- */
-template<unsigned int DataWidth, unsigned int numBytes>
-void Stream2Mem(hls::stream<ap_uint<DataWidth> > & in, ap_uint<DataWidth> * out);
-
-/*!
- * \brief DMA block that accesses the external memory and outputs HLS streams multiple times
- *
- * It basically calls Mem2Stream function multiple times, possibly with bigger sizes so to increase
- * the burst size
- *
- * \tparam DataWidth Width, in number of bits, of the AXI4 memory pointer and the output HLS stream
- * \tparam numBytes Number of bytes to be read from the memory
- *
- * \param in Input pointer to external memory
- * \param out Output the generated HLS sream
- * \param numReps Number of times the Mem2Stream function has to be called
- */
-template<unsigned int DataWidth, unsigned int numBytes>
-void Mem2Stream_Batch_external_wmem(ap_uint<DataWidth> * in,
-        stream<ap_uint<DataWidth> > & out, const unsigned int numReps) {
-    unsigned int rep = 0;
-    while (rep != numReps) {
-        Mem2Stream<DataWidth, numBytes>(&in[0], out);
-        rep += 1;
-    }
-}
-
-/*!
- * \brief DMA block writing HLS streams content in AXI4 pointed memory multiple times
- * 
- * It basically calls Stream2Mem function multiple times, possibly with bigger sizes so to increase
- * the burst size
- * 
- * \tparam DataWidth Width, in number of bits, of the AXI4 memory pointer and the output HLS stream
- * \tparam numBytes Number of bytes to be read from the memory
- *
- * \param in Input HLS stream
- * \param out Output memory pointer
- * \param numReps Number of times the Stream2Mem function has to be called
- */
-template<unsigned int DataWidth, unsigned int numBytes>
-void Stream2Mem_Batch(hls::stream<ap_uint<DataWidth> > & in, ap_uint<DataWidth> * out, const unsigned int numReps);
-
-template<unsigned int DataWidth, unsigned int numBytes>
-void Mem2Stream(ap_uint<DataWidth> * in, hls::stream<ap_uint<DataWidth> > & out) {
-  CASSERT_DATAFLOW(DataWidth % 8 == 0);
-  const unsigned int numWords = numBytes / (DataWidth / 8);
-  CASSERT_DATAFLOW(numWords != 0);
-  for (unsigned int i = 0; i < numWords; i++) {
-#pragma HLS PIPELINE II=1
-    ap_uint<DataWidth> e = in[i];
-    out.write(e);
-  }
-}
-
-
-template<unsigned int DataWidth, unsigned int numBytes>
-void Stream2Mem(hls::stream<ap_uint<DataWidth> > & in, ap_uint<DataWidth> * out) {
-  CASSERT_DATAFLOW(DataWidth % 8 == 0);
-  const unsigned int numWords = numBytes / (DataWidth / 8);
-  CASSERT_DATAFLOW(numWords != 0);
-  for (unsigned int i = 0; i < numWords; i++) {
-#pragma HLS PIPELINE II=1
-    ap_uint<DataWidth> e = in.read();
-	out[i] = e;
-  }
-}
-
-template<unsigned int DataWidth, unsigned int numBytes>
-void Mem2Stream_Batch(ap_uint<DataWidth> * in, hls::stream<ap_uint<DataWidth> > & out, const unsigned int numReps) {
-  const unsigned int indsPerRep = numBytes / (DataWidth / 8);
-  unsigned int rep = 0;
-  // make sure Mem2Stream does not get inlined here
-  // we lose burst inference otherwise
-  while (rep != numReps) {
-    unsigned int repsLeft = numReps - rep;
-    if ((repsLeft & 0xF) == 0) {
-      // repsLeft divisable by 16, read 16 images
-      Mem2Stream<DataWidth, numBytes * 16>(&in[rep * indsPerRep], out);
-      rep += 16;
-    } else {
-      // fallback, read single image
-      Mem2Stream<DataWidth, numBytes>(&in[rep * indsPerRep], out);
-      rep += 1;
-    }
-  }
-}
-
-
-template<unsigned int DataWidth, unsigned int numBytes>
-void Stream2Mem_Batch(hls::stream<ap_uint<DataWidth> > & in, ap_uint<DataWidth> * out, const unsigned int numReps) {
-  const unsigned int indsPerRep = numBytes / (DataWidth / 8);
-  unsigned int rep = 0;
-  // make sure Stream2Mem does not get inlined here
-  // we lose burst inference otherwise
-  while (rep != numReps) {
-    unsigned int repsLeft = numReps - rep;
-    if ((repsLeft & 0xF) == 0) {
-      // repsLeft divisable by 16, write 16 images
-      Stream2Mem<DataWidth, numBytes * 16>(in, &out[rep * indsPerRep]);
-      rep += 16;
-    } else {
-      // fallback, write single image
-      Stream2Mem<DataWidth, numBytes>(in, &out[rep * indsPerRep]);
-      rep += 1;
-    }
-  }
-}
-
-#endif
diff --git a/finn-hlslib/docs/Makefile b/finn-hlslib/docs/Makefile
deleted file mode 100755
index ed12727927f7b9c18fdeec4b17b34318fddb03d3..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/Makefile
+++ /dev/null
@@ -1,45 +0,0 @@
-#   Copyright (c) 2019, Xilinx, Inc.
-#   All rights reserved.
-# 
-#   Redistribution and use in source and binary forms, with or without 
-#   modification, are permitted provided that the following conditions are met:
-#
-#   1.  Redistributions of source code must retain the above copyright notice, 
-#       this list of conditions and the following disclaimer.
-#
-#   2.  Redistributions in binary form must reproduce the above copyright 
-#       notice, this list of conditions and the following disclaimer in the 
-#       documentation and/or other materials provided with the distribution.
-#
-#   3.  Neither the name of the copyright holder nor the names of its 
-#       contributors may be used to endorse or promote products derived from 
-#       this software without specific prior written permission.
-#
-#   THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-#   AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, 
-#   THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR 
-#   PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR 
-#   CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, 
-#   EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, 
-#   PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-#   OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, 
-#   WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR 
-#   OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF 
-#   ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-# You can set these variables from the command line.
-SPHINXOPTS    =
-SPHINXBUILD   = sphinx-build
-SOURCEDIR     = source
-BUILDDIR      = build
-
-# Put it first so that "make" without argument is like "make help".
-help:
-	@$(SPHINXBUILD) -M help "$(SOURCEDIR)" "$(BUILDDIR)" $(SPHINXOPTS) $(O)
-
-.PHONY: help Makefile
-
-# Catch-all target: route all unknown targets to Sphinx using the new
-# "make mode" option.  $(O) is meant as a shortcut for $(SPHINXOPTS).
-%: Makefile
-	@$(SPHINXBUILD) -M $@ "$(SOURCEDIR)" "$(BUILDDIR)" $(SPHINXOPTS) $(O)
diff --git a/finn-hlslib/docs/README.md b/finn-hlslib/docs/README.md
deleted file mode 100755
index e9322cd09cbbe9e945ec9abc57770c4ac03f8e51..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/README.md
+++ /dev/null
@@ -1,4 +0,0 @@
-# Documentation
-
-
-Documentation for FINN HLS library is generated using <a href="http://www.sphinx-doc.org/" target="_blank"> Sphinx </a> and <a href="https://breathe.readthedocs.io/" target="_blank"> Breathe, which works on top of <a href="http://www.doxygen.nl/index.html" target="_blank"> Doxygen </a> output.
diff --git a/finn-hlslib/docs/doxygen/Doxyfile b/finn-hlslib/docs/doxygen/Doxyfile
deleted file mode 100755
index 3f85a6e5dd3974ddbf7c5e794ceacf727930c408..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/doxygen/Doxyfile
+++ /dev/null
@@ -1,2494 +0,0 @@
-# Doxyfile 1.8.13
-
-# This file describes the settings to be used by the documentation system
-# doxygen (www.doxygen.org) for a project.
-#
-# All text after a double hash (##) is considered a comment and is placed in
-# front of the TAG it is preceding.
-#
-# All text after a single hash (#) is considered a comment and will be ignored.
-# The format is:
-# TAG = value [value, ...]
-# For lists, items can also be appended using:
-# TAG += value [value, ...]
-# Values that contain spaces should be placed between quotes (\" \").
-
-#---------------------------------------------------------------------------
-# Project related configuration options
-#---------------------------------------------------------------------------
-
-# This tag specifies the encoding used for all characters in the config file
-# that follow. The default is UTF-8 which is also the encoding used for all text
-# before the first occurrence of this tag. Doxygen uses libiconv (or the iconv
-# built into libc) for the transcoding. See http://www.gnu.org/software/libiconv
-# for the list of possible encodings.
-# The default value is: UTF-8.
-
-DOXYFILE_ENCODING      = UTF-8
-
-# The PROJECT_NAME tag is a single word (or a sequence of words surrounded by
-# double-quotes, unless you are using Doxywizard) that should identify the
-# project for which the documentation is generated. This name is used in the
-# title of most generated pages and in a few other places.
-# The default value is: My Project.
-
-PROJECT_NAME           = "My Project"
-
-# The PROJECT_NUMBER tag can be used to enter a project or revision number. This
-# could be handy for archiving the generated documentation or if some version
-# control system is used.
-
-PROJECT_NUMBER         = 
-
-# Using the PROJECT_BRIEF tag one can provide an optional one line description
-# for a project that appears at the top of each page and should give viewer a
-# quick idea about the purpose of the project. Keep the description short.
-
-PROJECT_BRIEF          = 
-
-# With the PROJECT_LOGO tag one can specify a logo or an icon that is included
-# in the documentation. The maximum height of the logo should not exceed 55
-# pixels and the maximum width should not exceed 200 pixels. Doxygen will copy
-# the logo to the output directory.
-
-PROJECT_LOGO           = 
-
-# The OUTPUT_DIRECTORY tag is used to specify the (relative or absolute) path
-# into which the generated documentation will be written. If a relative path is
-# entered, it will be relative to the location where doxygen was started. If
-# left blank the current directory will be used.
-
-OUTPUT_DIRECTORY       = 
-
-# If the CREATE_SUBDIRS tag is set to YES then doxygen will create 4096 sub-
-# directories (in 2 levels) under the output directory of each output format and
-# will distribute the generated files over these directories. Enabling this
-# option can be useful when feeding doxygen a huge amount of source files, where
-# putting all generated files in the same directory would otherwise causes
-# performance problems for the file system.
-# The default value is: NO.
-
-CREATE_SUBDIRS         = NO
-
-# If the ALLOW_UNICODE_NAMES tag is set to YES, doxygen will allow non-ASCII
-# characters to appear in the names of generated files. If set to NO, non-ASCII
-# characters will be escaped, for example _xE3_x81_x84 will be used for Unicode
-# U+3044.
-# The default value is: NO.
-
-ALLOW_UNICODE_NAMES    = NO
-
-# The OUTPUT_LANGUAGE tag is used to specify the language in which all
-# documentation generated by doxygen is written. Doxygen will use this
-# information to generate all constant output in the proper language.
-# Possible values are: Afrikaans, Arabic, Armenian, Brazilian, Catalan, Chinese,
-# Chinese-Traditional, Croatian, Czech, Danish, Dutch, English (United States),
-# Esperanto, Farsi (Persian), Finnish, French, German, Greek, Hungarian,
-# Indonesian, Italian, Japanese, Japanese-en (Japanese with English messages),
-# Korean, Korean-en (Korean with English messages), Latvian, Lithuanian,
-# Macedonian, Norwegian, Persian (Farsi), Polish, Portuguese, Romanian, Russian,
-# Serbian, Serbian-Cyrillic, Slovak, Slovene, Spanish, Swedish, Turkish,
-# Ukrainian and Vietnamese.
-# The default value is: English.
-
-OUTPUT_LANGUAGE        = English
-
-# If the BRIEF_MEMBER_DESC tag is set to YES, doxygen will include brief member
-# descriptions after the members that are listed in the file and class
-# documentation (similar to Javadoc). Set to NO to disable this.
-# The default value is: YES.
-
-BRIEF_MEMBER_DESC      = YES
-
-# If the REPEAT_BRIEF tag is set to YES, doxygen will prepend the brief
-# description of a member or function before the detailed description
-#
-# Note: If both HIDE_UNDOC_MEMBERS and BRIEF_MEMBER_DESC are set to NO, the
-# brief descriptions will be completely suppressed.
-# The default value is: YES.
-
-REPEAT_BRIEF           = YES
-
-# This tag implements a quasi-intelligent brief description abbreviator that is
-# used to form the text in various listings. Each string in this list, if found
-# as the leading text of the brief description, will be stripped from the text
-# and the result, after processing the whole list, is used as the annotated
-# text. Otherwise, the brief description is used as-is. If left blank, the
-# following values are used ($name is automatically replaced with the name of
-# the entity):The $name class, The $name widget, The $name file, is, provides,
-# specifies, contains, represents, a, an and the.
-
-ABBREVIATE_BRIEF       = "The $name class" \
-                         "The $name widget" \
-                         "The $name file" \
-                         is \
-                         provides \
-                         specifies \
-                         contains \
-                         represents \
-                         a \
-                         an \
-                         the
-
-# If the ALWAYS_DETAILED_SEC and REPEAT_BRIEF tags are both set to YES then
-# doxygen will generate a detailed section even if there is only a brief
-# description.
-# The default value is: NO.
-
-ALWAYS_DETAILED_SEC    = NO
-
-# If the INLINE_INHERITED_MEMB tag is set to YES, doxygen will show all
-# inherited members of a class in the documentation of that class as if those
-# members were ordinary class members. Constructors, destructors and assignment
-# operators of the base classes will not be shown.
-# The default value is: NO.
-
-INLINE_INHERITED_MEMB  = NO
-
-# If the FULL_PATH_NAMES tag is set to YES, doxygen will prepend the full path
-# before files name in the file list and in the header files. If set to NO the
-# shortest path that makes the file name unique will be used
-# The default value is: YES.
-
-FULL_PATH_NAMES        = YES
-
-# The STRIP_FROM_PATH tag can be used to strip a user-defined part of the path.
-# Stripping is only done if one of the specified strings matches the left-hand
-# part of the path. The tag can be used to show relative paths in the file list.
-# If left blank the directory from which doxygen is run is used as the path to
-# strip.
-#
-# Note that you can specify absolute paths here, but also relative paths, which
-# will be relative from the directory where doxygen is started.
-# This tag requires that the tag FULL_PATH_NAMES is set to YES.
-
-STRIP_FROM_PATH        = 
-
-# The STRIP_FROM_INC_PATH tag can be used to strip a user-defined part of the
-# path mentioned in the documentation of a class, which tells the reader which
-# header file to include in order to use a class. If left blank only the name of
-# the header file containing the class definition is used. Otherwise one should
-# specify the list of include paths that are normally passed to the compiler
-# using the -I flag.
-
-STRIP_FROM_INC_PATH    = 
-
-# If the SHORT_NAMES tag is set to YES, doxygen will generate much shorter (but
-# less readable) file names. This can be useful is your file systems doesn't
-# support long names like on DOS, Mac, or CD-ROM.
-# The default value is: NO.
-
-SHORT_NAMES            = NO
-
-# If the JAVADOC_AUTOBRIEF tag is set to YES then doxygen will interpret the
-# first line (until the first dot) of a Javadoc-style comment as the brief
-# description. If set to NO, the Javadoc-style will behave just like regular Qt-
-# style comments (thus requiring an explicit @brief command for a brief
-# description.)
-# The default value is: NO.
-
-JAVADOC_AUTOBRIEF      = NO
-
-# If the QT_AUTOBRIEF tag is set to YES then doxygen will interpret the first
-# line (until the first dot) of a Qt-style comment as the brief description. If
-# set to NO, the Qt-style will behave just like regular Qt-style comments (thus
-# requiring an explicit \brief command for a brief description.)
-# The default value is: NO.
-
-QT_AUTOBRIEF           = NO
-
-# The MULTILINE_CPP_IS_BRIEF tag can be set to YES to make doxygen treat a
-# multi-line C++ special comment block (i.e. a block of //! or /// comments) as
-# a brief description. This used to be the default behavior. The new default is
-# to treat a multi-line C++ comment block as a detailed description. Set this
-# tag to YES if you prefer the old behavior instead.
-#
-# Note that setting this tag to YES also means that rational rose comments are
-# not recognized any more.
-# The default value is: NO.
-
-MULTILINE_CPP_IS_BRIEF = NO
-
-# If the INHERIT_DOCS tag is set to YES then an undocumented member inherits the
-# documentation from any documented member that it re-implements.
-# The default value is: YES.
-
-INHERIT_DOCS           = YES
-
-# If the SEPARATE_MEMBER_PAGES tag is set to YES then doxygen will produce a new
-# page for each member. If set to NO, the documentation of a member will be part
-# of the file/class/namespace that contains it.
-# The default value is: NO.
-
-SEPARATE_MEMBER_PAGES  = NO
-
-# The TAB_SIZE tag can be used to set the number of spaces in a tab. Doxygen
-# uses this value to replace tabs by spaces in code fragments.
-# Minimum value: 1, maximum value: 16, default value: 4.
-
-TAB_SIZE               = 4
-
-# This tag can be used to specify a number of aliases that act as commands in
-# the documentation. An alias has the form:
-# name=value
-# For example adding
-# "sideeffect=@par Side Effects:\n"
-# will allow you to put the command \sideeffect (or @sideeffect) in the
-# documentation, which will result in a user-defined paragraph with heading
-# "Side Effects:". You can put \n's in the value part of an alias to insert
-# newlines.
-
-ALIASES                = 
-
-# This tag can be used to specify a number of word-keyword mappings (TCL only).
-# A mapping has the form "name=value". For example adding "class=itcl::class"
-# will allow you to use the command class in the itcl::class meaning.
-
-TCL_SUBST              = 
-
-# Set the OPTIMIZE_OUTPUT_FOR_C tag to YES if your project consists of C sources
-# only. Doxygen will then generate output that is more tailored for C. For
-# instance, some of the names that are used will be different. The list of all
-# members will be omitted, etc.
-# The default value is: NO.
-
-OPTIMIZE_OUTPUT_FOR_C  = YES
-
-# Set the OPTIMIZE_OUTPUT_JAVA tag to YES if your project consists of Java or
-# Python sources only. Doxygen will then generate output that is more tailored
-# for that language. For instance, namespaces will be presented as packages,
-# qualified scopes will look different, etc.
-# The default value is: NO.
-
-OPTIMIZE_OUTPUT_JAVA   = NO
-
-# Set the OPTIMIZE_FOR_FORTRAN tag to YES if your project consists of Fortran
-# sources. Doxygen will then generate output that is tailored for Fortran.
-# The default value is: NO.
-
-OPTIMIZE_FOR_FORTRAN   = NO
-
-# Set the OPTIMIZE_OUTPUT_VHDL tag to YES if your project consists of VHDL
-# sources. Doxygen will then generate output that is tailored for VHDL.
-# The default value is: NO.
-
-OPTIMIZE_OUTPUT_VHDL   = NO
-
-# Doxygen selects the parser to use depending on the extension of the files it
-# parses. With this tag you can assign which parser to use for a given
-# extension. Doxygen has a built-in mapping, but you can override or extend it
-# using this tag. The format is ext=language, where ext is a file extension, and
-# language is one of the parsers supported by doxygen: IDL, Java, Javascript,
-# C#, C, C++, D, PHP, Objective-C, Python, Fortran (fixed format Fortran:
-# FortranFixed, free formatted Fortran: FortranFree, unknown formatted Fortran:
-# Fortran. In the later case the parser tries to guess whether the code is fixed
-# or free formatted code, this is the default for Fortran type files), VHDL. For
-# instance to make doxygen treat .inc files as Fortran files (default is PHP),
-# and .f files as C (default is Fortran), use: inc=Fortran f=C.
-#
-# Note: For files without extension you can use no_extension as a placeholder.
-#
-# Note that for custom extensions you also need to set FILE_PATTERNS otherwise
-# the files are not read by doxygen.
-
-EXTENSION_MAPPING      = 
-
-# If the MARKDOWN_SUPPORT tag is enabled then doxygen pre-processes all comments
-# according to the Markdown format, which allows for more readable
-# documentation. See http://daringfireball.net/projects/markdown/ for details.
-# The output of markdown processing is further processed by doxygen, so you can
-# mix doxygen, HTML, and XML commands with Markdown formatting. Disable only in
-# case of backward compatibilities issues.
-# The default value is: YES.
-
-MARKDOWN_SUPPORT       = YES
-
-# When the TOC_INCLUDE_HEADINGS tag is set to a non-zero value, all headings up
-# to that level are automatically included in the table of contents, even if
-# they do not have an id attribute.
-# Note: This feature currently applies only to Markdown headings.
-# Minimum value: 0, maximum value: 99, default value: 0.
-# This tag requires that the tag MARKDOWN_SUPPORT is set to YES.
-
-TOC_INCLUDE_HEADINGS   = 0
-
-# When enabled doxygen tries to link words that correspond to documented
-# classes, or namespaces to their corresponding documentation. Such a link can
-# be prevented in individual cases by putting a % sign in front of the word or
-# globally by setting AUTOLINK_SUPPORT to NO.
-# The default value is: YES.
-
-AUTOLINK_SUPPORT       = YES
-
-# If you use STL classes (i.e. std::string, std::vector, etc.) but do not want
-# to include (a tag file for) the STL sources as input, then you should set this
-# tag to YES in order to let doxygen match functions declarations and
-# definitions whose arguments contain STL classes (e.g. func(std::string);
-# versus func(std::string) {}). This also make the inheritance and collaboration
-# diagrams that involve STL classes more complete and accurate.
-# The default value is: NO.
-
-BUILTIN_STL_SUPPORT    = NO
-
-# If you use Microsoft's C++/CLI language, you should set this option to YES to
-# enable parsing support.
-# The default value is: NO.
-
-CPP_CLI_SUPPORT        = NO
-
-# Set the SIP_SUPPORT tag to YES if your project consists of sip (see:
-# http://www.riverbankcomputing.co.uk/software/sip/intro) sources only. Doxygen
-# will parse them like normal C++ but will assume all classes use public instead
-# of private inheritance when no explicit protection keyword is present.
-# The default value is: NO.
-
-SIP_SUPPORT            = NO
-
-# For Microsoft's IDL there are propget and propput attributes to indicate
-# getter and setter methods for a property. Setting this option to YES will make
-# doxygen to replace the get and set methods by a property in the documentation.
-# This will only work if the methods are indeed getting or setting a simple
-# type. If this is not the case, or you want to show the methods anyway, you
-# should set this option to NO.
-# The default value is: YES.
-
-IDL_PROPERTY_SUPPORT   = YES
-
-# If member grouping is used in the documentation and the DISTRIBUTE_GROUP_DOC
-# tag is set to YES then doxygen will reuse the documentation of the first
-# member in the group (if any) for the other members of the group. By default
-# all members of a group must be documented explicitly.
-# The default value is: NO.
-
-DISTRIBUTE_GROUP_DOC   = NO
-
-# If one adds a struct or class to a group and this option is enabled, then also
-# any nested class or struct is added to the same group. By default this option
-# is disabled and one has to add nested compounds explicitly via \ingroup.
-# The default value is: NO.
-
-GROUP_NESTED_COMPOUNDS = NO
-
-# Set the SUBGROUPING tag to YES to allow class member groups of the same type
-# (for instance a group of public functions) to be put as a subgroup of that
-# type (e.g. under the Public Functions section). Set it to NO to prevent
-# subgrouping. Alternatively, this can be done per class using the
-# \nosubgrouping command.
-# The default value is: YES.
-
-SUBGROUPING            = YES
-
-# When the INLINE_GROUPED_CLASSES tag is set to YES, classes, structs and unions
-# are shown inside the group in which they are included (e.g. using \ingroup)
-# instead of on a separate page (for HTML and Man pages) or section (for LaTeX
-# and RTF).
-#
-# Note that this feature does not work in combination with
-# SEPARATE_MEMBER_PAGES.
-# The default value is: NO.
-
-INLINE_GROUPED_CLASSES = NO
-
-# When the INLINE_SIMPLE_STRUCTS tag is set to YES, structs, classes, and unions
-# with only public data fields or simple typedef fields will be shown inline in
-# the documentation of the scope in which they are defined (i.e. file,
-# namespace, or group documentation), provided this scope is documented. If set
-# to NO, structs, classes, and unions are shown on a separate page (for HTML and
-# Man pages) or section (for LaTeX and RTF).
-# The default value is: NO.
-
-INLINE_SIMPLE_STRUCTS  = NO
-
-# When TYPEDEF_HIDES_STRUCT tag is enabled, a typedef of a struct, union, or
-# enum is documented as struct, union, or enum with the name of the typedef. So
-# typedef struct TypeS {} TypeT, will appear in the documentation as a struct
-# with name TypeT. When disabled the typedef will appear as a member of a file,
-# namespace, or class. And the struct will be named TypeS. This can typically be
-# useful for C code in case the coding convention dictates that all compound
-# types are typedef'ed and only the typedef is referenced, never the tag name.
-# The default value is: NO.
-
-TYPEDEF_HIDES_STRUCT   = NO
-
-# The size of the symbol lookup cache can be set using LOOKUP_CACHE_SIZE. This
-# cache is used to resolve symbols given their name and scope. Since this can be
-# an expensive process and often the same symbol appears multiple times in the
-# code, doxygen keeps a cache of pre-resolved symbols. If the cache is too small
-# doxygen will become slower. If the cache is too large, memory is wasted. The
-# cache size is given by this formula: 2^(16+LOOKUP_CACHE_SIZE). The valid range
-# is 0..9, the default is 0, corresponding to a cache size of 2^16=65536
-# symbols. At the end of a run doxygen will report the cache usage and suggest
-# the optimal cache size from a speed point of view.
-# Minimum value: 0, maximum value: 9, default value: 0.
-
-LOOKUP_CACHE_SIZE      = 0
-
-#---------------------------------------------------------------------------
-# Build related configuration options
-#---------------------------------------------------------------------------
-
-# If the EXTRACT_ALL tag is set to YES, doxygen will assume all entities in
-# documentation are documented, even if no documentation was available. Private
-# class members and static file members will be hidden unless the
-# EXTRACT_PRIVATE respectively EXTRACT_STATIC tags are set to YES.
-# Note: This will also disable the warnings about undocumented members that are
-# normally produced when WARNINGS is set to YES.
-# The default value is: NO.
-
-EXTRACT_ALL            = YES
-
-# If the EXTRACT_PRIVATE tag is set to YES, all private members of a class will
-# be included in the documentation.
-# The default value is: NO.
-
-EXTRACT_PRIVATE        = NO
-
-# If the EXTRACT_PACKAGE tag is set to YES, all members with package or internal
-# scope will be included in the documentation.
-# The default value is: NO.
-
-EXTRACT_PACKAGE        = NO
-
-# If the EXTRACT_STATIC tag is set to YES, all static members of a file will be
-# included in the documentation.
-# The default value is: NO.
-
-EXTRACT_STATIC         = NO
-
-# If the EXTRACT_LOCAL_CLASSES tag is set to YES, classes (and structs) defined
-# locally in source files will be included in the documentation. If set to NO,
-# only classes defined in header files are included. Does not have any effect
-# for Java sources.
-# The default value is: YES.
-
-EXTRACT_LOCAL_CLASSES  = YES
-
-# This flag is only useful for Objective-C code. If set to YES, local methods,
-# which are defined in the implementation section but not in the interface are
-# included in the documentation. If set to NO, only methods in the interface are
-# included.
-# The default value is: NO.
-
-EXTRACT_LOCAL_METHODS  = NO
-
-# If this flag is set to YES, the members of anonymous namespaces will be
-# extracted and appear in the documentation as a namespace called
-# 'anonymous_namespace{file}', where file will be replaced with the base name of
-# the file that contains the anonymous namespace. By default anonymous namespace
-# are hidden.
-# The default value is: NO.
-
-EXTRACT_ANON_NSPACES   = NO
-
-# If the HIDE_UNDOC_MEMBERS tag is set to YES, doxygen will hide all
-# undocumented members inside documented classes or files. If set to NO these
-# members will be included in the various overviews, but no documentation
-# section is generated. This option has no effect if EXTRACT_ALL is enabled.
-# The default value is: NO.
-
-HIDE_UNDOC_MEMBERS     = NO
-
-# If the HIDE_UNDOC_CLASSES tag is set to YES, doxygen will hide all
-# undocumented classes that are normally visible in the class hierarchy. If set
-# to NO, these classes will be included in the various overviews. This option
-# has no effect if EXTRACT_ALL is enabled.
-# The default value is: NO.
-
-HIDE_UNDOC_CLASSES     = NO
-
-# If the HIDE_FRIEND_COMPOUNDS tag is set to YES, doxygen will hide all friend
-# (class|struct|union) declarations. If set to NO, these declarations will be
-# included in the documentation.
-# The default value is: NO.
-
-HIDE_FRIEND_COMPOUNDS  = NO
-
-# If the HIDE_IN_BODY_DOCS tag is set to YES, doxygen will hide any
-# documentation blocks found inside the body of a function. If set to NO, these
-# blocks will be appended to the function's detailed documentation block.
-# The default value is: NO.
-
-HIDE_IN_BODY_DOCS      = NO
-
-# The INTERNAL_DOCS tag determines if documentation that is typed after a
-# \internal command is included. If the tag is set to NO then the documentation
-# will be excluded. Set it to YES to include the internal documentation.
-# The default value is: NO.
-
-INTERNAL_DOCS          = NO
-
-# If the CASE_SENSE_NAMES tag is set to NO then doxygen will only generate file
-# names in lower-case letters. If set to YES, upper-case letters are also
-# allowed. This is useful if you have classes or files whose names only differ
-# in case and if your file system supports case sensitive file names. Windows
-# and Mac users are advised to set this option to NO.
-# The default value is: system dependent.
-
-CASE_SENSE_NAMES       = NO
-
-# If the HIDE_SCOPE_NAMES tag is set to NO then doxygen will show members with
-# their full class and namespace scopes in the documentation. If set to YES, the
-# scope will be hidden.
-# The default value is: NO.
-
-HIDE_SCOPE_NAMES       = YES
-
-# If the HIDE_COMPOUND_REFERENCE tag is set to NO (default) then doxygen will
-# append additional text to a page's title, such as Class Reference. If set to
-# YES the compound reference will be hidden.
-# The default value is: NO.
-
-HIDE_COMPOUND_REFERENCE= NO
-
-# If the SHOW_INCLUDE_FILES tag is set to YES then doxygen will put a list of
-# the files that are included by a file in the documentation of that file.
-# The default value is: YES.
-
-SHOW_INCLUDE_FILES     = YES
-
-# If the SHOW_GROUPED_MEMB_INC tag is set to YES then Doxygen will add for each
-# grouped member an include statement to the documentation, telling the reader
-# which file to include in order to use the member.
-# The default value is: NO.
-
-SHOW_GROUPED_MEMB_INC  = NO
-
-# If the FORCE_LOCAL_INCLUDES tag is set to YES then doxygen will list include
-# files with double quotes in the documentation rather than with sharp brackets.
-# The default value is: NO.
-
-FORCE_LOCAL_INCLUDES   = NO
-
-# If the INLINE_INFO tag is set to YES then a tag [inline] is inserted in the
-# documentation for inline members.
-# The default value is: YES.
-
-INLINE_INFO            = YES
-
-# If the SORT_MEMBER_DOCS tag is set to YES then doxygen will sort the
-# (detailed) documentation of file and class members alphabetically by member
-# name. If set to NO, the members will appear in declaration order.
-# The default value is: YES.
-
-SORT_MEMBER_DOCS       = YES
-
-# If the SORT_BRIEF_DOCS tag is set to YES then doxygen will sort the brief
-# descriptions of file, namespace and class members alphabetically by member
-# name. If set to NO, the members will appear in declaration order. Note that
-# this will also influence the order of the classes in the class list.
-# The default value is: NO.
-
-SORT_BRIEF_DOCS        = NO
-
-# If the SORT_MEMBERS_CTORS_1ST tag is set to YES then doxygen will sort the
-# (brief and detailed) documentation of class members so that constructors and
-# destructors are listed first. If set to NO the constructors will appear in the
-# respective orders defined by SORT_BRIEF_DOCS and SORT_MEMBER_DOCS.
-# Note: If SORT_BRIEF_DOCS is set to NO this option is ignored for sorting brief
-# member documentation.
-# Note: If SORT_MEMBER_DOCS is set to NO this option is ignored for sorting
-# detailed member documentation.
-# The default value is: NO.
-
-SORT_MEMBERS_CTORS_1ST = NO
-
-# If the SORT_GROUP_NAMES tag is set to YES then doxygen will sort the hierarchy
-# of group names into alphabetical order. If set to NO the group names will
-# appear in their defined order.
-# The default value is: NO.
-
-SORT_GROUP_NAMES       = NO
-
-# If the SORT_BY_SCOPE_NAME tag is set to YES, the class list will be sorted by
-# fully-qualified names, including namespaces. If set to NO, the class list will
-# be sorted only by class name, not including the namespace part.
-# Note: This option is not very useful if HIDE_SCOPE_NAMES is set to YES.
-# Note: This option applies only to the class list, not to the alphabetical
-# list.
-# The default value is: NO.
-
-SORT_BY_SCOPE_NAME     = NO
-
-# If the STRICT_PROTO_MATCHING option is enabled and doxygen fails to do proper
-# type resolution of all parameters of a function it will reject a match between
-# the prototype and the implementation of a member function even if there is
-# only one candidate or it is obvious which candidate to choose by doing a
-# simple string match. By disabling STRICT_PROTO_MATCHING doxygen will still
-# accept a match between prototype and implementation in such cases.
-# The default value is: NO.
-
-STRICT_PROTO_MATCHING  = NO
-
-# The GENERATE_TODOLIST tag can be used to enable (YES) or disable (NO) the todo
-# list. This list is created by putting \todo commands in the documentation.
-# The default value is: YES.
-
-GENERATE_TODOLIST      = YES
-
-# The GENERATE_TESTLIST tag can be used to enable (YES) or disable (NO) the test
-# list. This list is created by putting \test commands in the documentation.
-# The default value is: YES.
-
-GENERATE_TESTLIST      = YES
-
-# The GENERATE_BUGLIST tag can be used to enable (YES) or disable (NO) the bug
-# list. This list is created by putting \bug commands in the documentation.
-# The default value is: YES.
-
-GENERATE_BUGLIST       = YES
-
-# The GENERATE_DEPRECATEDLIST tag can be used to enable (YES) or disable (NO)
-# the deprecated list. This list is created by putting \deprecated commands in
-# the documentation.
-# The default value is: YES.
-
-GENERATE_DEPRECATEDLIST= YES
-
-# The ENABLED_SECTIONS tag can be used to enable conditional documentation
-# sections, marked by \if <section_label> ... \endif and \cond <section_label>
-# ... \endcond blocks.
-
-ENABLED_SECTIONS       = 
-
-# The MAX_INITIALIZER_LINES tag determines the maximum number of lines that the
-# initial value of a variable or macro / define can have for it to appear in the
-# documentation. If the initializer consists of more lines than specified here
-# it will be hidden. Use a value of 0 to hide initializers completely. The
-# appearance of the value of individual variables and macros / defines can be
-# controlled using \showinitializer or \hideinitializer command in the
-# documentation regardless of this setting.
-# Minimum value: 0, maximum value: 10000, default value: 30.
-
-MAX_INITIALIZER_LINES  = 30
-
-# Set the SHOW_USED_FILES tag to NO to disable the list of files generated at
-# the bottom of the documentation of classes and structs. If set to YES, the
-# list will mention the files that were used to generate the documentation.
-# The default value is: YES.
-
-SHOW_USED_FILES        = YES
-
-# Set the SHOW_FILES tag to NO to disable the generation of the Files page. This
-# will remove the Files entry from the Quick Index and from the Folder Tree View
-# (if specified).
-# The default value is: YES.
-
-SHOW_FILES             = YES
-
-# Set the SHOW_NAMESPACES tag to NO to disable the generation of the Namespaces
-# page. This will remove the Namespaces entry from the Quick Index and from the
-# Folder Tree View (if specified).
-# The default value is: YES.
-
-SHOW_NAMESPACES        = YES
-
-# The FILE_VERSION_FILTER tag can be used to specify a program or script that
-# doxygen should invoke to get the current version for each file (typically from
-# the version control system). Doxygen will invoke the program by executing (via
-# popen()) the command command input-file, where command is the value of the
-# FILE_VERSION_FILTER tag, and input-file is the name of an input file provided
-# by doxygen. Whatever the program writes to standard output is used as the file
-# version. For an example see the documentation.
-
-FILE_VERSION_FILTER    = 
-
-# The LAYOUT_FILE tag can be used to specify a layout file which will be parsed
-# by doxygen. The layout file controls the global structure of the generated
-# output files in an output format independent way. To create the layout file
-# that represents doxygen's defaults, run doxygen with the -l option. You can
-# optionally specify a file name after the option, if omitted DoxygenLayout.xml
-# will be used as the name of the layout file.
-#
-# Note that if you run doxygen from a directory containing a file called
-# DoxygenLayout.xml, doxygen will parse it automatically even if the LAYOUT_FILE
-# tag is left empty.
-
-LAYOUT_FILE            = 
-
-# The CITE_BIB_FILES tag can be used to specify one or more bib files containing
-# the reference definitions. This must be a list of .bib files. The .bib
-# extension is automatically appended if omitted. This requires the bibtex tool
-# to be installed. See also http://en.wikipedia.org/wiki/BibTeX for more info.
-# For LaTeX the style of the bibliography can be controlled using
-# LATEX_BIB_STYLE. To use this feature you need bibtex and perl available in the
-# search path. See also \cite for info how to create references.
-
-CITE_BIB_FILES         = 
-
-#---------------------------------------------------------------------------
-# Configuration options related to warning and progress messages
-#---------------------------------------------------------------------------
-
-# The QUIET tag can be used to turn on/off the messages that are generated to
-# standard output by doxygen. If QUIET is set to YES this implies that the
-# messages are off.
-# The default value is: NO.
-
-QUIET                  = NO
-
-# The WARNINGS tag can be used to turn on/off the warning messages that are
-# generated to standard error (stderr) by doxygen. If WARNINGS is set to YES
-# this implies that the warnings are on.
-#
-# Tip: Turn warnings on while writing the documentation.
-# The default value is: YES.
-
-WARNINGS               = YES
-
-# If the WARN_IF_UNDOCUMENTED tag is set to YES then doxygen will generate
-# warnings for undocumented members. If EXTRACT_ALL is set to YES then this flag
-# will automatically be disabled.
-# The default value is: YES.
-
-WARN_IF_UNDOCUMENTED   = YES
-
-# If the WARN_IF_DOC_ERROR tag is set to YES, doxygen will generate warnings for
-# potential errors in the documentation, such as not documenting some parameters
-# in a documented function, or documenting parameters that don't exist or using
-# markup commands wrongly.
-# The default value is: YES.
-
-WARN_IF_DOC_ERROR      = YES
-
-# This WARN_NO_PARAMDOC option can be enabled to get warnings for functions that
-# are documented, but have no documentation for their parameters or return
-# value. If set to NO, doxygen will only warn about wrong or incomplete
-# parameter documentation, but not about the absence of documentation.
-# The default value is: NO.
-
-WARN_NO_PARAMDOC       = NO
-
-# If the WARN_AS_ERROR tag is set to YES then doxygen will immediately stop when
-# a warning is encountered.
-# The default value is: NO.
-
-WARN_AS_ERROR          = NO
-
-# The WARN_FORMAT tag determines the format of the warning messages that doxygen
-# can produce. The string should contain the $file, $line, and $text tags, which
-# will be replaced by the file and line number from which the warning originated
-# and the warning text. Optionally the format may contain $version, which will
-# be replaced by the version of the file (if it could be obtained via
-# FILE_VERSION_FILTER)
-# The default value is: $file:$line: $text.
-
-WARN_FORMAT            = "$file:$line: $text"
-
-# The WARN_LOGFILE tag can be used to specify a file to which warning and error
-# messages should be written. If left blank the output is written to standard
-# error (stderr).
-
-WARN_LOGFILE           = 
-
-#---------------------------------------------------------------------------
-# Configuration options related to the input files
-#---------------------------------------------------------------------------
-
-# The INPUT tag is used to specify the files and/or directories that contain
-# documented source files. You may enter file names like myfile.cpp or
-# directories like /usr/src/myproject. Separate the files or directories with
-# spaces. See also FILE_PATTERNS and EXTENSION_MAPPING
-# Note: If this tag is empty the current directory is searched.
-
-INPUT                  = ../../
-
-# This tag can be used to specify the character encoding of the source files
-# that doxygen parses. Internally doxygen uses the UTF-8 encoding. Doxygen uses
-# libiconv (or the iconv built into libc) for the transcoding. See the libiconv
-# documentation (see: http://www.gnu.org/software/libiconv) for the list of
-# possible encodings.
-# The default value is: UTF-8.
-
-INPUT_ENCODING         = UTF-8
-
-# If the value of the INPUT tag contains directories, you can use the
-# FILE_PATTERNS tag to specify one or more wildcard patterns (like *.cpp and
-# *.h) to filter out the source-files in the directories.
-#
-# Note that for custom extensions or not directly supported extensions you also
-# need to set EXTENSION_MAPPING for the extension otherwise the files are not
-# read by doxygen.
-#
-# If left blank the following patterns are tested:*.c, *.cc, *.cxx, *.cpp,
-# *.c++, *.java, *.ii, *.ixx, *.ipp, *.i++, *.inl, *.idl, *.ddl, *.odl, *.h,
-# *.hh, *.hxx, *.hpp, *.h++, *.cs, *.d, *.php, *.php4, *.php5, *.phtml, *.inc,
-# *.m, *.markdown, *.md, *.mm, *.dox, *.py, *.pyw, *.f90, *.f95, *.f03, *.f08,
-# *.f, *.for, *.tcl, *.vhd, *.vhdl, *.ucf and *.qsf.
-
-FILE_PATTERNS          = *.c \
-                         *.cc \
-                         *.cxx \
-                         *.cpp \
-                         *.c++ \
-                         *.java \
-                         *.ii \
-                         *.ixx \
-                         *.ipp \
-                         *.i++ \
-                         *.inl \
-                         *.idl \
-                         *.ddl \
-                         *.odl \
-                         *.h \
-                         *.hh \
-                         *.hxx \
-                         *.hpp \
-                         *.h++ \
-                         *.cs \
-                         *.d \
-                         *.php \
-                         *.php4 \
-                         *.php5 \
-                         *.phtml \
-                         *.inc \
-                         *.m \
-                         *.markdown \
-                         *.md \
-                         *.mm \
-                         *.dox \
-                         *.py \
-                         *.pyw \
-                         *.f90 \
-                         *.f95 \
-                         *.f03 \
-                         *.f08 \
-                         *.f \
-                         *.for \
-                         *.tcl \
-                         *.vhd \
-                         *.vhdl \
-                         *.ucf \
-                         *.qsf
-
-# The RECURSIVE tag can be used to specify whether or not subdirectories should
-# be searched for input files as well.
-# The default value is: NO.
-
-RECURSIVE              = NO
-
-# The EXCLUDE tag can be used to specify files and/or directories that should be
-# excluded from the INPUT source files. This way you can easily exclude a
-# subdirectory from a directory tree whose root is specified with the INPUT tag.
-#
-# Note that relative paths are relative to the directory from which doxygen is
-# run.
-
-EXCLUDE                = 
-
-# The EXCLUDE_SYMLINKS tag can be used to select whether or not files or
-# directories that are symbolic links (a Unix file system feature) are excluded
-# from the input.
-# The default value is: NO.
-
-EXCLUDE_SYMLINKS       = NO
-
-# If the value of the INPUT tag contains directories, you can use the
-# EXCLUDE_PATTERNS tag to specify one or more wildcard patterns to exclude
-# certain files from those directories.
-#
-# Note that the wildcards are matched against the file with absolute path, so to
-# exclude all test directories for example use the pattern */test/*
-
-EXCLUDE_PATTERNS       = 
-
-# The EXCLUDE_SYMBOLS tag can be used to specify one or more symbol names
-# (namespaces, classes, functions, etc.) that should be excluded from the
-# output. The symbol name can be a fully qualified name, a word, or if the
-# wildcard * is used, a substring. Examples: ANamespace, AClass,
-# AClass::ANamespace, ANamespace::*Test
-#
-# Note that the wildcards are matched against the file with absolute path, so to
-# exclude all test directories use the pattern */test/*
-
-EXCLUDE_SYMBOLS        = 
-
-# The EXAMPLE_PATH tag can be used to specify one or more files or directories
-# that contain example code fragments that are included (see the \include
-# command).
-
-EXAMPLE_PATH           = 
-
-# If the value of the EXAMPLE_PATH tag contains directories, you can use the
-# EXAMPLE_PATTERNS tag to specify one or more wildcard pattern (like *.cpp and
-# *.h) to filter out the source-files in the directories. If left blank all
-# files are included.
-
-EXAMPLE_PATTERNS       = *
-
-# If the EXAMPLE_RECURSIVE tag is set to YES then subdirectories will be
-# searched for input files to be used with the \include or \dontinclude commands
-# irrespective of the value of the RECURSIVE tag.
-# The default value is: NO.
-
-EXAMPLE_RECURSIVE      = NO
-
-# The IMAGE_PATH tag can be used to specify one or more files or directories
-# that contain images that are to be included in the documentation (see the
-# \image command).
-
-IMAGE_PATH             = 
-
-# The INPUT_FILTER tag can be used to specify a program that doxygen should
-# invoke to filter for each input file. Doxygen will invoke the filter program
-# by executing (via popen()) the command:
-#
-# <filter> <input-file>
-#
-# where <filter> is the value of the INPUT_FILTER tag, and <input-file> is the
-# name of an input file. Doxygen will then use the output that the filter
-# program writes to standard output. If FILTER_PATTERNS is specified, this tag
-# will be ignored.
-#
-# Note that the filter must not add or remove lines; it is applied before the
-# code is scanned, but not when the output code is generated. If lines are added
-# or removed, the anchors will not be placed correctly.
-#
-# Note that for custom extensions or not directly supported extensions you also
-# need to set EXTENSION_MAPPING for the extension otherwise the files are not
-# properly processed by doxygen.
-
-INPUT_FILTER           = 
-
-# The FILTER_PATTERNS tag can be used to specify filters on a per file pattern
-# basis. Doxygen will compare the file name with each pattern and apply the
-# filter if there is a match. The filters are a list of the form: pattern=filter
-# (like *.cpp=my_cpp_filter). See INPUT_FILTER for further information on how
-# filters are used. If the FILTER_PATTERNS tag is empty or if none of the
-# patterns match the file name, INPUT_FILTER is applied.
-#
-# Note that for custom extensions or not directly supported extensions you also
-# need to set EXTENSION_MAPPING for the extension otherwise the files are not
-# properly processed by doxygen.
-
-FILTER_PATTERNS        = 
-
-# If the FILTER_SOURCE_FILES tag is set to YES, the input filter (if set using
-# INPUT_FILTER) will also be used to filter the input files that are used for
-# producing the source files to browse (i.e. when SOURCE_BROWSER is set to YES).
-# The default value is: NO.
-
-FILTER_SOURCE_FILES    = NO
-
-# The FILTER_SOURCE_PATTERNS tag can be used to specify source filters per file
-# pattern. A pattern will override the setting for FILTER_PATTERN (if any) and
-# it is also possible to disable source filtering for a specific pattern using
-# *.ext= (so without naming a filter).
-# This tag requires that the tag FILTER_SOURCE_FILES is set to YES.
-
-FILTER_SOURCE_PATTERNS = 
-
-# If the USE_MDFILE_AS_MAINPAGE tag refers to the name of a markdown file that
-# is part of the input, its contents will be placed on the main page
-# (index.html). This can be useful if you have a project on for instance GitHub
-# and want to reuse the introduction page also for the doxygen output.
-
-USE_MDFILE_AS_MAINPAGE = 
-
-#---------------------------------------------------------------------------
-# Configuration options related to source browsing
-#---------------------------------------------------------------------------
-
-# If the SOURCE_BROWSER tag is set to YES then a list of source files will be
-# generated. Documented entities will be cross-referenced with these sources.
-#
-# Note: To get rid of all source code in the generated output, make sure that
-# also VERBATIM_HEADERS is set to NO.
-# The default value is: NO.
-
-SOURCE_BROWSER         = NO
-
-# Setting the INLINE_SOURCES tag to YES will include the body of functions,
-# classes and enums directly into the documentation.
-# The default value is: NO.
-
-INLINE_SOURCES         = NO
-
-# Setting the STRIP_CODE_COMMENTS tag to YES will instruct doxygen to hide any
-# special comment blocks from generated source code fragments. Normal C, C++ and
-# Fortran comments will always remain visible.
-# The default value is: YES.
-
-STRIP_CODE_COMMENTS    = YES
-
-# If the REFERENCED_BY_RELATION tag is set to YES then for each documented
-# function all documented functions referencing it will be listed.
-# The default value is: NO.
-
-REFERENCED_BY_RELATION = NO
-
-# If the REFERENCES_RELATION tag is set to YES then for each documented function
-# all documented entities called/used by that function will be listed.
-# The default value is: NO.
-
-REFERENCES_RELATION    = NO
-
-# If the REFERENCES_LINK_SOURCE tag is set to YES and SOURCE_BROWSER tag is set
-# to YES then the hyperlinks from functions in REFERENCES_RELATION and
-# REFERENCED_BY_RELATION lists will link to the source code. Otherwise they will
-# link to the documentation.
-# The default value is: YES.
-
-REFERENCES_LINK_SOURCE = YES
-
-# If SOURCE_TOOLTIPS is enabled (the default) then hovering a hyperlink in the
-# source code will show a tooltip with additional information such as prototype,
-# brief description and links to the definition and documentation. Since this
-# will make the HTML file larger and loading of large files a bit slower, you
-# can opt to disable this feature.
-# The default value is: YES.
-# This tag requires that the tag SOURCE_BROWSER is set to YES.
-
-SOURCE_TOOLTIPS        = YES
-
-# If the USE_HTAGS tag is set to YES then the references to source code will
-# point to the HTML generated by the htags(1) tool instead of doxygen built-in
-# source browser. The htags tool is part of GNU's global source tagging system
-# (see http://www.gnu.org/software/global/global.html). You will need version
-# 4.8.6 or higher.
-#
-# To use it do the following:
-# - Install the latest version of global
-# - Enable SOURCE_BROWSER and USE_HTAGS in the config file
-# - Make sure the INPUT points to the root of the source tree
-# - Run doxygen as normal
-#
-# Doxygen will invoke htags (and that will in turn invoke gtags), so these
-# tools must be available from the command line (i.e. in the search path).
-#
-# The result: instead of the source browser generated by doxygen, the links to
-# source code will now point to the output of htags.
-# The default value is: NO.
-# This tag requires that the tag SOURCE_BROWSER is set to YES.
-
-USE_HTAGS              = NO
-
-# If the VERBATIM_HEADERS tag is set the YES then doxygen will generate a
-# verbatim copy of the header file for each class for which an include is
-# specified. Set to NO to disable this.
-# See also: Section \class.
-# The default value is: YES.
-
-VERBATIM_HEADERS       = YES
-
-# If the CLANG_ASSISTED_PARSING tag is set to YES then doxygen will use the
-# clang parser (see: http://clang.llvm.org/) for more accurate parsing at the
-# cost of reduced performance. This can be particularly helpful with template
-# rich C++ code for which doxygen's built-in parser lacks the necessary type
-# information.
-# Note: The availability of this option depends on whether or not doxygen was
-# generated with the -Duse-libclang=ON option for CMake.
-# The default value is: NO.
-
-CLANG_ASSISTED_PARSING = NO
-
-# If clang assisted parsing is enabled you can provide the compiler with command
-# line options that you would normally use when invoking the compiler. Note that
-# the include paths will already be set by doxygen for the files and directories
-# specified with INPUT and INCLUDE_PATH.
-# This tag requires that the tag CLANG_ASSISTED_PARSING is set to YES.
-
-CLANG_OPTIONS          = 
-
-#---------------------------------------------------------------------------
-# Configuration options related to the alphabetical class index
-#---------------------------------------------------------------------------
-
-# If the ALPHABETICAL_INDEX tag is set to YES, an alphabetical index of all
-# compounds will be generated. Enable this if the project contains a lot of
-# classes, structs, unions or interfaces.
-# The default value is: YES.
-
-ALPHABETICAL_INDEX     = YES
-
-# The COLS_IN_ALPHA_INDEX tag can be used to specify the number of columns in
-# which the alphabetical index list will be split.
-# Minimum value: 1, maximum value: 20, default value: 5.
-# This tag requires that the tag ALPHABETICAL_INDEX is set to YES.
-
-COLS_IN_ALPHA_INDEX    = 5
-
-# In case all classes in a project start with a common prefix, all classes will
-# be put under the same header in the alphabetical index. The IGNORE_PREFIX tag
-# can be used to specify a prefix (or a list of prefixes) that should be ignored
-# while generating the index headers.
-# This tag requires that the tag ALPHABETICAL_INDEX is set to YES.
-
-IGNORE_PREFIX          = 
-
-#---------------------------------------------------------------------------
-# Configuration options related to the HTML output
-#---------------------------------------------------------------------------
-
-# If the GENERATE_HTML tag is set to YES, doxygen will generate HTML output
-# The default value is: YES.
-
-GENERATE_HTML          = NO
-
-# The HTML_OUTPUT tag is used to specify where the HTML docs will be put. If a
-# relative path is entered the value of OUTPUT_DIRECTORY will be put in front of
-# it.
-# The default directory is: html.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-HTML_OUTPUT            = html
-
-# The HTML_FILE_EXTENSION tag can be used to specify the file extension for each
-# generated HTML page (for example: .htm, .php, .asp).
-# The default value is: .html.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-HTML_FILE_EXTENSION    = .html
-
-# The HTML_HEADER tag can be used to specify a user-defined HTML header file for
-# each generated HTML page. If the tag is left blank doxygen will generate a
-# standard header.
-#
-# To get valid HTML the header file that includes any scripts and style sheets
-# that doxygen needs, which is dependent on the configuration options used (e.g.
-# the setting GENERATE_TREEVIEW). It is highly recommended to start with a
-# default header using
-# doxygen -w html new_header.html new_footer.html new_stylesheet.css
-# YourConfigFile
-# and then modify the file new_header.html. See also section "Doxygen usage"
-# for information on how to generate the default header that doxygen normally
-# uses.
-# Note: The header is subject to change so you typically have to regenerate the
-# default header when upgrading to a newer version of doxygen. For a description
-# of the possible markers and block names see the documentation.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-HTML_HEADER            = 
-
-# The HTML_FOOTER tag can be used to specify a user-defined HTML footer for each
-# generated HTML page. If the tag is left blank doxygen will generate a standard
-# footer. See HTML_HEADER for more information on how to generate a default
-# footer and what special commands can be used inside the footer. See also
-# section "Doxygen usage" for information on how to generate the default footer
-# that doxygen normally uses.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-HTML_FOOTER            = 
-
-# The HTML_STYLESHEET tag can be used to specify a user-defined cascading style
-# sheet that is used by each HTML page. It can be used to fine-tune the look of
-# the HTML output. If left blank doxygen will generate a default style sheet.
-# See also section "Doxygen usage" for information on how to generate the style
-# sheet that doxygen normally uses.
-# Note: It is recommended to use HTML_EXTRA_STYLESHEET instead of this tag, as
-# it is more robust and this tag (HTML_STYLESHEET) will in the future become
-# obsolete.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-HTML_STYLESHEET        = 
-
-# The HTML_EXTRA_STYLESHEET tag can be used to specify additional user-defined
-# cascading style sheets that are included after the standard style sheets
-# created by doxygen. Using this option one can overrule certain style aspects.
-# This is preferred over using HTML_STYLESHEET since it does not replace the
-# standard style sheet and is therefore more robust against future updates.
-# Doxygen will copy the style sheet files to the output directory.
-# Note: The order of the extra style sheet files is of importance (e.g. the last
-# style sheet in the list overrules the setting of the previous ones in the
-# list). For an example see the documentation.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-HTML_EXTRA_STYLESHEET  = 
-
-# The HTML_EXTRA_FILES tag can be used to specify one or more extra images or
-# other source files which should be copied to the HTML output directory. Note
-# that these files will be copied to the base HTML output directory. Use the
-# $relpath^ marker in the HTML_HEADER and/or HTML_FOOTER files to load these
-# files. In the HTML_STYLESHEET file, use the file name only. Also note that the
-# files will be copied as-is; there are no commands or markers available.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-HTML_EXTRA_FILES       = 
-
-# The HTML_COLORSTYLE_HUE tag controls the color of the HTML output. Doxygen
-# will adjust the colors in the style sheet and background images according to
-# this color. Hue is specified as an angle on a colorwheel, see
-# http://en.wikipedia.org/wiki/Hue for more information. For instance the value
-# 0 represents red, 60 is yellow, 120 is green, 180 is cyan, 240 is blue, 300
-# purple, and 360 is red again.
-# Minimum value: 0, maximum value: 359, default value: 220.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-HTML_COLORSTYLE_HUE    = 220
-
-# The HTML_COLORSTYLE_SAT tag controls the purity (or saturation) of the colors
-# in the HTML output. For a value of 0 the output will use grayscales only. A
-# value of 255 will produce the most vivid colors.
-# Minimum value: 0, maximum value: 255, default value: 100.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-HTML_COLORSTYLE_SAT    = 100
-
-# The HTML_COLORSTYLE_GAMMA tag controls the gamma correction applied to the
-# luminance component of the colors in the HTML output. Values below 100
-# gradually make the output lighter, whereas values above 100 make the output
-# darker. The value divided by 100 is the actual gamma applied, so 80 represents
-# a gamma of 0.8, The value 220 represents a gamma of 2.2, and 100 does not
-# change the gamma.
-# Minimum value: 40, maximum value: 240, default value: 80.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-HTML_COLORSTYLE_GAMMA  = 80
-
-# If the HTML_TIMESTAMP tag is set to YES then the footer of each generated HTML
-# page will contain the date and time when the page was generated. Setting this
-# to YES can help to show when doxygen was last run and thus if the
-# documentation is up to date.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-HTML_TIMESTAMP         = NO
-
-# If the HTML_DYNAMIC_SECTIONS tag is set to YES then the generated HTML
-# documentation will contain sections that can be hidden and shown after the
-# page has loaded.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-HTML_DYNAMIC_SECTIONS  = NO
-
-# With HTML_INDEX_NUM_ENTRIES one can control the preferred number of entries
-# shown in the various tree structured indices initially; the user can expand
-# and collapse entries dynamically later on. Doxygen will expand the tree to
-# such a level that at most the specified number of entries are visible (unless
-# a fully collapsed tree already exceeds this amount). So setting the number of
-# entries 1 will produce a full collapsed tree by default. 0 is a special value
-# representing an infinite number of entries and will result in a full expanded
-# tree by default.
-# Minimum value: 0, maximum value: 9999, default value: 100.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-HTML_INDEX_NUM_ENTRIES = 100
-
-# If the GENERATE_DOCSET tag is set to YES, additional index files will be
-# generated that can be used as input for Apple's Xcode 3 integrated development
-# environment (see: http://developer.apple.com/tools/xcode/), introduced with
-# OSX 10.5 (Leopard). To create a documentation set, doxygen will generate a
-# Makefile in the HTML output directory. Running make will produce the docset in
-# that directory and running make install will install the docset in
-# ~/Library/Developer/Shared/Documentation/DocSets so that Xcode will find it at
-# startup. See http://developer.apple.com/tools/creatingdocsetswithdoxygen.html
-# for more information.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-GENERATE_DOCSET        = NO
-
-# This tag determines the name of the docset feed. A documentation feed provides
-# an umbrella under which multiple documentation sets from a single provider
-# (such as a company or product suite) can be grouped.
-# The default value is: Doxygen generated docs.
-# This tag requires that the tag GENERATE_DOCSET is set to YES.
-
-DOCSET_FEEDNAME        = "Doxygen generated docs"
-
-# This tag specifies a string that should uniquely identify the documentation
-# set bundle. This should be a reverse domain-name style string, e.g.
-# com.mycompany.MyDocSet. Doxygen will append .docset to the name.
-# The default value is: org.doxygen.Project.
-# This tag requires that the tag GENERATE_DOCSET is set to YES.
-
-DOCSET_BUNDLE_ID       = org.doxygen.Project
-
-# The DOCSET_PUBLISHER_ID tag specifies a string that should uniquely identify
-# the documentation publisher. This should be a reverse domain-name style
-# string, e.g. com.mycompany.MyDocSet.documentation.
-# The default value is: org.doxygen.Publisher.
-# This tag requires that the tag GENERATE_DOCSET is set to YES.
-
-DOCSET_PUBLISHER_ID    = org.doxygen.Publisher
-
-# The DOCSET_PUBLISHER_NAME tag identifies the documentation publisher.
-# The default value is: Publisher.
-# This tag requires that the tag GENERATE_DOCSET is set to YES.
-
-DOCSET_PUBLISHER_NAME  = Publisher
-
-# If the GENERATE_HTMLHELP tag is set to YES then doxygen generates three
-# additional HTML index files: index.hhp, index.hhc, and index.hhk. The
-# index.hhp is a project file that can be read by Microsoft's HTML Help Workshop
-# (see: http://www.microsoft.com/en-us/download/details.aspx?id=21138) on
-# Windows.
-#
-# The HTML Help Workshop contains a compiler that can convert all HTML output
-# generated by doxygen into a single compiled HTML file (.chm). Compiled HTML
-# files are now used as the Windows 98 help format, and will replace the old
-# Windows help format (.hlp) on all Windows platforms in the future. Compressed
-# HTML files also contain an index, a table of contents, and you can search for
-# words in the documentation. The HTML workshop also contains a viewer for
-# compressed HTML files.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-GENERATE_HTMLHELP      = NO
-
-# The CHM_FILE tag can be used to specify the file name of the resulting .chm
-# file. You can add a path in front of the file if the result should not be
-# written to the html output directory.
-# This tag requires that the tag GENERATE_HTMLHELP is set to YES.
-
-CHM_FILE               = 
-
-# The HHC_LOCATION tag can be used to specify the location (absolute path
-# including file name) of the HTML help compiler (hhc.exe). If non-empty,
-# doxygen will try to run the HTML help compiler on the generated index.hhp.
-# The file has to be specified with full path.
-# This tag requires that the tag GENERATE_HTMLHELP is set to YES.
-
-HHC_LOCATION           = 
-
-# The GENERATE_CHI flag controls if a separate .chi index file is generated
-# (YES) or that it should be included in the master .chm file (NO).
-# The default value is: NO.
-# This tag requires that the tag GENERATE_HTMLHELP is set to YES.
-
-GENERATE_CHI           = NO
-
-# The CHM_INDEX_ENCODING is used to encode HtmlHelp index (hhk), content (hhc)
-# and project file content.
-# This tag requires that the tag GENERATE_HTMLHELP is set to YES.
-
-CHM_INDEX_ENCODING     = 
-
-# The BINARY_TOC flag controls whether a binary table of contents is generated
-# (YES) or a normal table of contents (NO) in the .chm file. Furthermore it
-# enables the Previous and Next buttons.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_HTMLHELP is set to YES.
-
-BINARY_TOC             = NO
-
-# The TOC_EXPAND flag can be set to YES to add extra items for group members to
-# the table of contents of the HTML help documentation and to the tree view.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_HTMLHELP is set to YES.
-
-TOC_EXPAND             = NO
-
-# If the GENERATE_QHP tag is set to YES and both QHP_NAMESPACE and
-# QHP_VIRTUAL_FOLDER are set, an additional index file will be generated that
-# can be used as input for Qt's qhelpgenerator to generate a Qt Compressed Help
-# (.qch) of the generated HTML documentation.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-GENERATE_QHP           = NO
-
-# If the QHG_LOCATION tag is specified, the QCH_FILE tag can be used to specify
-# the file name of the resulting .qch file. The path specified is relative to
-# the HTML output folder.
-# This tag requires that the tag GENERATE_QHP is set to YES.
-
-QCH_FILE               = 
-
-# The QHP_NAMESPACE tag specifies the namespace to use when generating Qt Help
-# Project output. For more information please see Qt Help Project / Namespace
-# (see: http://qt-project.org/doc/qt-4.8/qthelpproject.html#namespace).
-# The default value is: org.doxygen.Project.
-# This tag requires that the tag GENERATE_QHP is set to YES.
-
-QHP_NAMESPACE          = org.doxygen.Project
-
-# The QHP_VIRTUAL_FOLDER tag specifies the namespace to use when generating Qt
-# Help Project output. For more information please see Qt Help Project / Virtual
-# Folders (see: http://qt-project.org/doc/qt-4.8/qthelpproject.html#virtual-
-# folders).
-# The default value is: doc.
-# This tag requires that the tag GENERATE_QHP is set to YES.
-
-QHP_VIRTUAL_FOLDER     = doc
-
-# If the QHP_CUST_FILTER_NAME tag is set, it specifies the name of a custom
-# filter to add. For more information please see Qt Help Project / Custom
-# Filters (see: http://qt-project.org/doc/qt-4.8/qthelpproject.html#custom-
-# filters).
-# This tag requires that the tag GENERATE_QHP is set to YES.
-
-QHP_CUST_FILTER_NAME   = 
-
-# The QHP_CUST_FILTER_ATTRS tag specifies the list of the attributes of the
-# custom filter to add. For more information please see Qt Help Project / Custom
-# Filters (see: http://qt-project.org/doc/qt-4.8/qthelpproject.html#custom-
-# filters).
-# This tag requires that the tag GENERATE_QHP is set to YES.
-
-QHP_CUST_FILTER_ATTRS  = 
-
-# The QHP_SECT_FILTER_ATTRS tag specifies the list of the attributes this
-# project's filter section matches. Qt Help Project / Filter Attributes (see:
-# http://qt-project.org/doc/qt-4.8/qthelpproject.html#filter-attributes).
-# This tag requires that the tag GENERATE_QHP is set to YES.
-
-QHP_SECT_FILTER_ATTRS  = 
-
-# The QHG_LOCATION tag can be used to specify the location of Qt's
-# qhelpgenerator. If non-empty doxygen will try to run qhelpgenerator on the
-# generated .qhp file.
-# This tag requires that the tag GENERATE_QHP is set to YES.
-
-QHG_LOCATION           = 
-
-# If the GENERATE_ECLIPSEHELP tag is set to YES, additional index files will be
-# generated, together with the HTML files, they form an Eclipse help plugin. To
-# install this plugin and make it available under the help contents menu in
-# Eclipse, the contents of the directory containing the HTML and XML files needs
-# to be copied into the plugins directory of eclipse. The name of the directory
-# within the plugins directory should be the same as the ECLIPSE_DOC_ID value.
-# After copying Eclipse needs to be restarted before the help appears.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-GENERATE_ECLIPSEHELP   = NO
-
-# A unique identifier for the Eclipse help plugin. When installing the plugin
-# the directory name containing the HTML and XML files should also have this
-# name. Each documentation set should have its own identifier.
-# The default value is: org.doxygen.Project.
-# This tag requires that the tag GENERATE_ECLIPSEHELP is set to YES.
-
-ECLIPSE_DOC_ID         = org.doxygen.Project
-
-# If you want full control over the layout of the generated HTML pages it might
-# be necessary to disable the index and replace it with your own. The
-# DISABLE_INDEX tag can be used to turn on/off the condensed index (tabs) at top
-# of each HTML page. A value of NO enables the index and the value YES disables
-# it. Since the tabs in the index contain the same information as the navigation
-# tree, you can set this option to YES if you also set GENERATE_TREEVIEW to YES.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-DISABLE_INDEX          = NO
-
-# The GENERATE_TREEVIEW tag is used to specify whether a tree-like index
-# structure should be generated to display hierarchical information. If the tag
-# value is set to YES, a side panel will be generated containing a tree-like
-# index structure (just like the one that is generated for HTML Help). For this
-# to work a browser that supports JavaScript, DHTML, CSS and frames is required
-# (i.e. any modern browser). Windows users are probably better off using the
-# HTML help feature. Via custom style sheets (see HTML_EXTRA_STYLESHEET) one can
-# further fine-tune the look of the index. As an example, the default style
-# sheet generated by doxygen has an example that shows how to put an image at
-# the root of the tree instead of the PROJECT_NAME. Since the tree basically has
-# the same information as the tab index, you could consider setting
-# DISABLE_INDEX to YES when enabling this option.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-GENERATE_TREEVIEW      = NO
-
-# The ENUM_VALUES_PER_LINE tag can be used to set the number of enum values that
-# doxygen will group on one line in the generated HTML documentation.
-#
-# Note that a value of 0 will completely suppress the enum values from appearing
-# in the overview section.
-# Minimum value: 0, maximum value: 20, default value: 4.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-ENUM_VALUES_PER_LINE   = 4
-
-# If the treeview is enabled (see GENERATE_TREEVIEW) then this tag can be used
-# to set the initial width (in pixels) of the frame in which the tree is shown.
-# Minimum value: 0, maximum value: 1500, default value: 250.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-TREEVIEW_WIDTH         = 250
-
-# If the EXT_LINKS_IN_WINDOW option is set to YES, doxygen will open links to
-# external symbols imported via tag files in a separate window.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-EXT_LINKS_IN_WINDOW    = NO
-
-# Use this tag to change the font size of LaTeX formulas included as images in
-# the HTML documentation. When you change the font size after a successful
-# doxygen run you need to manually remove any form_*.png images from the HTML
-# output directory to force them to be regenerated.
-# Minimum value: 8, maximum value: 50, default value: 10.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-FORMULA_FONTSIZE       = 10
-
-# Use the FORMULA_TRANPARENT tag to determine whether or not the images
-# generated for formulas are transparent PNGs. Transparent PNGs are not
-# supported properly for IE 6.0, but are supported on all modern browsers.
-#
-# Note that when changing this option you need to delete any form_*.png files in
-# the HTML output directory before the changes have effect.
-# The default value is: YES.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-FORMULA_TRANSPARENT    = YES
-
-# Enable the USE_MATHJAX option to render LaTeX formulas using MathJax (see
-# http://www.mathjax.org) which uses client side Javascript for the rendering
-# instead of using pre-rendered bitmaps. Use this if you do not have LaTeX
-# installed or if you want to formulas look prettier in the HTML output. When
-# enabled you may also need to install MathJax separately and configure the path
-# to it using the MATHJAX_RELPATH option.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-USE_MATHJAX            = NO
-
-# When MathJax is enabled you can set the default output format to be used for
-# the MathJax output. See the MathJax site (see:
-# http://docs.mathjax.org/en/latest/output.html) for more details.
-# Possible values are: HTML-CSS (which is slower, but has the best
-# compatibility), NativeMML (i.e. MathML) and SVG.
-# The default value is: HTML-CSS.
-# This tag requires that the tag USE_MATHJAX is set to YES.
-
-MATHJAX_FORMAT         = HTML-CSS
-
-# When MathJax is enabled you need to specify the location relative to the HTML
-# output directory using the MATHJAX_RELPATH option. The destination directory
-# should contain the MathJax.js script. For instance, if the mathjax directory
-# is located at the same level as the HTML output directory, then
-# MATHJAX_RELPATH should be ../mathjax. The default value points to the MathJax
-# Content Delivery Network so you can quickly see the result without installing
-# MathJax. However, it is strongly recommended to install a local copy of
-# MathJax from http://www.mathjax.org before deployment.
-# The default value is: http://cdn.mathjax.org/mathjax/latest.
-# This tag requires that the tag USE_MATHJAX is set to YES.
-
-MATHJAX_RELPATH        = http://cdn.mathjax.org/mathjax/latest
-
-# The MATHJAX_EXTENSIONS tag can be used to specify one or more MathJax
-# extension names that should be enabled during MathJax rendering. For example
-# MATHJAX_EXTENSIONS = TeX/AMSmath TeX/AMSsymbols
-# This tag requires that the tag USE_MATHJAX is set to YES.
-
-MATHJAX_EXTENSIONS     = 
-
-# The MATHJAX_CODEFILE tag can be used to specify a file with javascript pieces
-# of code that will be used on startup of the MathJax code. See the MathJax site
-# (see: http://docs.mathjax.org/en/latest/output.html) for more details. For an
-# example see the documentation.
-# This tag requires that the tag USE_MATHJAX is set to YES.
-
-MATHJAX_CODEFILE       = 
-
-# When the SEARCHENGINE tag is enabled doxygen will generate a search box for
-# the HTML output. The underlying search engine uses javascript and DHTML and
-# should work on any modern browser. Note that when using HTML help
-# (GENERATE_HTMLHELP), Qt help (GENERATE_QHP), or docsets (GENERATE_DOCSET)
-# there is already a search function so this one should typically be disabled.
-# For large projects the javascript based search engine can be slow, then
-# enabling SERVER_BASED_SEARCH may provide a better solution. It is possible to
-# search using the keyboard; to jump to the search box use <access key> + S
-# (what the <access key> is depends on the OS and browser, but it is typically
-# <CTRL>, <ALT>/<option>, or both). Inside the search box use the <cursor down
-# key> to jump into the search results window, the results can be navigated
-# using the <cursor keys>. Press <Enter> to select an item or <escape> to cancel
-# the search. The filter options can be selected when the cursor is inside the
-# search box by pressing <Shift>+<cursor down>. Also here use the <cursor keys>
-# to select a filter and <Enter> or <escape> to activate or cancel the filter
-# option.
-# The default value is: YES.
-# This tag requires that the tag GENERATE_HTML is set to YES.
-
-SEARCHENGINE           = YES
-
-# When the SERVER_BASED_SEARCH tag is enabled the search engine will be
-# implemented using a web server instead of a web client using Javascript. There
-# are two flavors of web server based searching depending on the EXTERNAL_SEARCH
-# setting. When disabled, doxygen will generate a PHP script for searching and
-# an index file used by the script. When EXTERNAL_SEARCH is enabled the indexing
-# and searching needs to be provided by external tools. See the section
-# "External Indexing and Searching" for details.
-# The default value is: NO.
-# This tag requires that the tag SEARCHENGINE is set to YES.
-
-SERVER_BASED_SEARCH    = NO
-
-# When EXTERNAL_SEARCH tag is enabled doxygen will no longer generate the PHP
-# script for searching. Instead the search results are written to an XML file
-# which needs to be processed by an external indexer. Doxygen will invoke an
-# external search engine pointed to by the SEARCHENGINE_URL option to obtain the
-# search results.
-#
-# Doxygen ships with an example indexer (doxyindexer) and search engine
-# (doxysearch.cgi) which are based on the open source search engine library
-# Xapian (see: http://xapian.org/).
-#
-# See the section "External Indexing and Searching" for details.
-# The default value is: NO.
-# This tag requires that the tag SEARCHENGINE is set to YES.
-
-EXTERNAL_SEARCH        = NO
-
-# The SEARCHENGINE_URL should point to a search engine hosted by a web server
-# which will return the search results when EXTERNAL_SEARCH is enabled.
-#
-# Doxygen ships with an example indexer (doxyindexer) and search engine
-# (doxysearch.cgi) which are based on the open source search engine library
-# Xapian (see: http://xapian.org/). See the section "External Indexing and
-# Searching" for details.
-# This tag requires that the tag SEARCHENGINE is set to YES.
-
-SEARCHENGINE_URL       = 
-
-# When SERVER_BASED_SEARCH and EXTERNAL_SEARCH are both enabled the unindexed
-# search data is written to a file for indexing by an external tool. With the
-# SEARCHDATA_FILE tag the name of this file can be specified.
-# The default file is: searchdata.xml.
-# This tag requires that the tag SEARCHENGINE is set to YES.
-
-SEARCHDATA_FILE        = searchdata.xml
-
-# When SERVER_BASED_SEARCH and EXTERNAL_SEARCH are both enabled the
-# EXTERNAL_SEARCH_ID tag can be used as an identifier for the project. This is
-# useful in combination with EXTRA_SEARCH_MAPPINGS to search through multiple
-# projects and redirect the results back to the right project.
-# This tag requires that the tag SEARCHENGINE is set to YES.
-
-EXTERNAL_SEARCH_ID     = 
-
-# The EXTRA_SEARCH_MAPPINGS tag can be used to enable searching through doxygen
-# projects other than the one defined by this configuration file, but that are
-# all added to the same external search index. Each project needs to have a
-# unique id set via EXTERNAL_SEARCH_ID. The search mapping then maps the id of
-# to a relative location where the documentation can be found. The format is:
-# EXTRA_SEARCH_MAPPINGS = tagname1=loc1 tagname2=loc2 ...
-# This tag requires that the tag SEARCHENGINE is set to YES.
-
-EXTRA_SEARCH_MAPPINGS  = 
-
-#---------------------------------------------------------------------------
-# Configuration options related to the LaTeX output
-#---------------------------------------------------------------------------
-
-# If the GENERATE_LATEX tag is set to YES, doxygen will generate LaTeX output.
-# The default value is: YES.
-
-GENERATE_LATEX         = NO
-
-# The LATEX_OUTPUT tag is used to specify where the LaTeX docs will be put. If a
-# relative path is entered the value of OUTPUT_DIRECTORY will be put in front of
-# it.
-# The default directory is: latex.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-LATEX_OUTPUT           = latex
-
-# The LATEX_CMD_NAME tag can be used to specify the LaTeX command name to be
-# invoked.
-#
-# Note that when enabling USE_PDFLATEX this option is only used for generating
-# bitmaps for formulas in the HTML output, but not in the Makefile that is
-# written to the output directory.
-# The default file is: latex.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-LATEX_CMD_NAME         = latex
-
-# The MAKEINDEX_CMD_NAME tag can be used to specify the command name to generate
-# index for LaTeX.
-# The default file is: makeindex.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-MAKEINDEX_CMD_NAME     = makeindex
-
-# If the COMPACT_LATEX tag is set to YES, doxygen generates more compact LaTeX
-# documents. This may be useful for small projects and may help to save some
-# trees in general.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-COMPACT_LATEX          = NO
-
-# The PAPER_TYPE tag can be used to set the paper type that is used by the
-# printer.
-# Possible values are: a4 (210 x 297 mm), letter (8.5 x 11 inches), legal (8.5 x
-# 14 inches) and executive (7.25 x 10.5 inches).
-# The default value is: a4.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-PAPER_TYPE             = a4
-
-# The EXTRA_PACKAGES tag can be used to specify one or more LaTeX package names
-# that should be included in the LaTeX output. The package can be specified just
-# by its name or with the correct syntax as to be used with the LaTeX
-# \usepackage command. To get the times font for instance you can specify :
-# EXTRA_PACKAGES=times or EXTRA_PACKAGES={times}
-# To use the option intlimits with the amsmath package you can specify:
-# EXTRA_PACKAGES=[intlimits]{amsmath}
-# If left blank no extra packages will be included.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-EXTRA_PACKAGES         = 
-
-# The LATEX_HEADER tag can be used to specify a personal LaTeX header for the
-# generated LaTeX document. The header should contain everything until the first
-# chapter. If it is left blank doxygen will generate a standard header. See
-# section "Doxygen usage" for information on how to let doxygen write the
-# default header to a separate file.
-#
-# Note: Only use a user-defined header if you know what you are doing! The
-# following commands have a special meaning inside the header: $title,
-# $datetime, $date, $doxygenversion, $projectname, $projectnumber,
-# $projectbrief, $projectlogo. Doxygen will replace $title with the empty
-# string, for the replacement values of the other commands the user is referred
-# to HTML_HEADER.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-LATEX_HEADER           = 
-
-# The LATEX_FOOTER tag can be used to specify a personal LaTeX footer for the
-# generated LaTeX document. The footer should contain everything after the last
-# chapter. If it is left blank doxygen will generate a standard footer. See
-# LATEX_HEADER for more information on how to generate a default footer and what
-# special commands can be used inside the footer.
-#
-# Note: Only use a user-defined footer if you know what you are doing!
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-LATEX_FOOTER           = 
-
-# The LATEX_EXTRA_STYLESHEET tag can be used to specify additional user-defined
-# LaTeX style sheets that are included after the standard style sheets created
-# by doxygen. Using this option one can overrule certain style aspects. Doxygen
-# will copy the style sheet files to the output directory.
-# Note: The order of the extra style sheet files is of importance (e.g. the last
-# style sheet in the list overrules the setting of the previous ones in the
-# list).
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-LATEX_EXTRA_STYLESHEET = 
-
-# The LATEX_EXTRA_FILES tag can be used to specify one or more extra images or
-# other source files which should be copied to the LATEX_OUTPUT output
-# directory. Note that the files will be copied as-is; there are no commands or
-# markers available.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-LATEX_EXTRA_FILES      = 
-
-# If the PDF_HYPERLINKS tag is set to YES, the LaTeX that is generated is
-# prepared for conversion to PDF (using ps2pdf or pdflatex). The PDF file will
-# contain links (just like the HTML output) instead of page references. This
-# makes the output suitable for online browsing using a PDF viewer.
-# The default value is: YES.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-PDF_HYPERLINKS         = YES
-
-# If the USE_PDFLATEX tag is set to YES, doxygen will use pdflatex to generate
-# the PDF file directly from the LaTeX files. Set this option to YES, to get a
-# higher quality PDF documentation.
-# The default value is: YES.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-USE_PDFLATEX           = YES
-
-# If the LATEX_BATCHMODE tag is set to YES, doxygen will add the \batchmode
-# command to the generated LaTeX files. This will instruct LaTeX to keep running
-# if errors occur, instead of asking the user for help. This option is also used
-# when generating formulas in HTML.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-LATEX_BATCHMODE        = NO
-
-# If the LATEX_HIDE_INDICES tag is set to YES then doxygen will not include the
-# index chapters (such as File Index, Compound Index, etc.) in the output.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-LATEX_HIDE_INDICES     = NO
-
-# If the LATEX_SOURCE_CODE tag is set to YES then doxygen will include source
-# code with syntax highlighting in the LaTeX output.
-#
-# Note that which sources are shown also depends on other settings such as
-# SOURCE_BROWSER.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-LATEX_SOURCE_CODE      = NO
-
-# The LATEX_BIB_STYLE tag can be used to specify the style to use for the
-# bibliography, e.g. plainnat, or ieeetr. See
-# http://en.wikipedia.org/wiki/BibTeX and \cite for more info.
-# The default value is: plain.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-LATEX_BIB_STYLE        = plain
-
-# If the LATEX_TIMESTAMP tag is set to YES then the footer of each generated
-# page will contain the date and time when the page was generated. Setting this
-# to NO can help when comparing the output of multiple runs.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_LATEX is set to YES.
-
-LATEX_TIMESTAMP        = NO
-
-#---------------------------------------------------------------------------
-# Configuration options related to the RTF output
-#---------------------------------------------------------------------------
-
-# If the GENERATE_RTF tag is set to YES, doxygen will generate RTF output. The
-# RTF output is optimized for Word 97 and may not look too pretty with other RTF
-# readers/editors.
-# The default value is: NO.
-
-GENERATE_RTF           = NO
-
-# The RTF_OUTPUT tag is used to specify where the RTF docs will be put. If a
-# relative path is entered the value of OUTPUT_DIRECTORY will be put in front of
-# it.
-# The default directory is: rtf.
-# This tag requires that the tag GENERATE_RTF is set to YES.
-
-RTF_OUTPUT             = rtf
-
-# If the COMPACT_RTF tag is set to YES, doxygen generates more compact RTF
-# documents. This may be useful for small projects and may help to save some
-# trees in general.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_RTF is set to YES.
-
-COMPACT_RTF            = NO
-
-# If the RTF_HYPERLINKS tag is set to YES, the RTF that is generated will
-# contain hyperlink fields. The RTF file will contain links (just like the HTML
-# output) instead of page references. This makes the output suitable for online
-# browsing using Word or some other Word compatible readers that support those
-# fields.
-#
-# Note: WordPad (write) and others do not support links.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_RTF is set to YES.
-
-RTF_HYPERLINKS         = NO
-
-# Load stylesheet definitions from file. Syntax is similar to doxygen's config
-# file, i.e. a series of assignments. You only have to provide replacements,
-# missing definitions are set to their default value.
-#
-# See also section "Doxygen usage" for information on how to generate the
-# default style sheet that doxygen normally uses.
-# This tag requires that the tag GENERATE_RTF is set to YES.
-
-RTF_STYLESHEET_FILE    = 
-
-# Set optional variables used in the generation of an RTF document. Syntax is
-# similar to doxygen's config file. A template extensions file can be generated
-# using doxygen -e rtf extensionFile.
-# This tag requires that the tag GENERATE_RTF is set to YES.
-
-RTF_EXTENSIONS_FILE    = 
-
-# If the RTF_SOURCE_CODE tag is set to YES then doxygen will include source code
-# with syntax highlighting in the RTF output.
-#
-# Note that which sources are shown also depends on other settings such as
-# SOURCE_BROWSER.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_RTF is set to YES.
-
-RTF_SOURCE_CODE        = NO
-
-#---------------------------------------------------------------------------
-# Configuration options related to the man page output
-#---------------------------------------------------------------------------
-
-# If the GENERATE_MAN tag is set to YES, doxygen will generate man pages for
-# classes and files.
-# The default value is: NO.
-
-GENERATE_MAN           = NO
-
-# The MAN_OUTPUT tag is used to specify where the man pages will be put. If a
-# relative path is entered the value of OUTPUT_DIRECTORY will be put in front of
-# it. A directory man3 will be created inside the directory specified by
-# MAN_OUTPUT.
-# The default directory is: man.
-# This tag requires that the tag GENERATE_MAN is set to YES.
-
-MAN_OUTPUT             = man
-
-# The MAN_EXTENSION tag determines the extension that is added to the generated
-# man pages. In case the manual section does not start with a number, the number
-# 3 is prepended. The dot (.) at the beginning of the MAN_EXTENSION tag is
-# optional.
-# The default value is: .3.
-# This tag requires that the tag GENERATE_MAN is set to YES.
-
-MAN_EXTENSION          = .3
-
-# The MAN_SUBDIR tag determines the name of the directory created within
-# MAN_OUTPUT in which the man pages are placed. If defaults to man followed by
-# MAN_EXTENSION with the initial . removed.
-# This tag requires that the tag GENERATE_MAN is set to YES.
-
-MAN_SUBDIR             = 
-
-# If the MAN_LINKS tag is set to YES and doxygen generates man output, then it
-# will generate one additional man file for each entity documented in the real
-# man page(s). These additional files only source the real man page, but without
-# them the man command would be unable to find the correct page.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_MAN is set to YES.
-
-MAN_LINKS              = NO
-
-#---------------------------------------------------------------------------
-# Configuration options related to the XML output
-#---------------------------------------------------------------------------
-
-# If the GENERATE_XML tag is set to YES, doxygen will generate an XML file that
-# captures the structure of the code including all documentation.
-# The default value is: NO.
-
-GENERATE_XML           = YES
-
-# The XML_OUTPUT tag is used to specify where the XML pages will be put. If a
-# relative path is entered the value of OUTPUT_DIRECTORY will be put in front of
-# it.
-# The default directory is: xml.
-# This tag requires that the tag GENERATE_XML is set to YES.
-
-XML_OUTPUT             = xml
-
-# If the XML_PROGRAMLISTING tag is set to YES, doxygen will dump the program
-# listings (including syntax highlighting and cross-referencing information) to
-# the XML output. Note that enabling this will significantly increase the size
-# of the XML output.
-# The default value is: YES.
-# This tag requires that the tag GENERATE_XML is set to YES.
-
-XML_PROGRAMLISTING     = YES
-
-#---------------------------------------------------------------------------
-# Configuration options related to the DOCBOOK output
-#---------------------------------------------------------------------------
-
-# If the GENERATE_DOCBOOK tag is set to YES, doxygen will generate Docbook files
-# that can be used to generate PDF.
-# The default value is: NO.
-
-GENERATE_DOCBOOK       = NO
-
-# The DOCBOOK_OUTPUT tag is used to specify where the Docbook pages will be put.
-# If a relative path is entered the value of OUTPUT_DIRECTORY will be put in
-# front of it.
-# The default directory is: docbook.
-# This tag requires that the tag GENERATE_DOCBOOK is set to YES.
-
-DOCBOOK_OUTPUT         = docbook
-
-# If the DOCBOOK_PROGRAMLISTING tag is set to YES, doxygen will include the
-# program listings (including syntax highlighting and cross-referencing
-# information) to the DOCBOOK output. Note that enabling this will significantly
-# increase the size of the DOCBOOK output.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_DOCBOOK is set to YES.
-
-DOCBOOK_PROGRAMLISTING = NO
-
-#---------------------------------------------------------------------------
-# Configuration options for the AutoGen Definitions output
-#---------------------------------------------------------------------------
-
-# If the GENERATE_AUTOGEN_DEF tag is set to YES, doxygen will generate an
-# AutoGen Definitions (see http://autogen.sf.net) file that captures the
-# structure of the code including all documentation. Note that this feature is
-# still experimental and incomplete at the moment.
-# The default value is: NO.
-
-GENERATE_AUTOGEN_DEF   = NO
-
-#---------------------------------------------------------------------------
-# Configuration options related to the Perl module output
-#---------------------------------------------------------------------------
-
-# If the GENERATE_PERLMOD tag is set to YES, doxygen will generate a Perl module
-# file that captures the structure of the code including all documentation.
-#
-# Note that this feature is still experimental and incomplete at the moment.
-# The default value is: NO.
-
-GENERATE_PERLMOD       = NO
-
-# If the PERLMOD_LATEX tag is set to YES, doxygen will generate the necessary
-# Makefile rules, Perl scripts and LaTeX code to be able to generate PDF and DVI
-# output from the Perl module output.
-# The default value is: NO.
-# This tag requires that the tag GENERATE_PERLMOD is set to YES.
-
-PERLMOD_LATEX          = NO
-
-# If the PERLMOD_PRETTY tag is set to YES, the Perl module output will be nicely
-# formatted so it can be parsed by a human reader. This is useful if you want to
-# understand what is going on. On the other hand, if this tag is set to NO, the
-# size of the Perl module output will be much smaller and Perl will parse it
-# just the same.
-# The default value is: YES.
-# This tag requires that the tag GENERATE_PERLMOD is set to YES.
-
-PERLMOD_PRETTY         = YES
-
-# The names of the make variables in the generated doxyrules.make file are
-# prefixed with the string contained in PERLMOD_MAKEVAR_PREFIX. This is useful
-# so different doxyrules.make files included by the same Makefile don't
-# overwrite each other's variables.
-# This tag requires that the tag GENERATE_PERLMOD is set to YES.
-
-PERLMOD_MAKEVAR_PREFIX = 
-
-#---------------------------------------------------------------------------
-# Configuration options related to the preprocessor
-#---------------------------------------------------------------------------
-
-# If the ENABLE_PREPROCESSING tag is set to YES, doxygen will evaluate all
-# C-preprocessor directives found in the sources and include files.
-# The default value is: YES.
-
-ENABLE_PREPROCESSING   = YES
-
-# If the MACRO_EXPANSION tag is set to YES, doxygen will expand all macro names
-# in the source code. If set to NO, only conditional compilation will be
-# performed. Macro expansion can be done in a controlled way by setting
-# EXPAND_ONLY_PREDEF to YES.
-# The default value is: NO.
-# This tag requires that the tag ENABLE_PREPROCESSING is set to YES.
-
-MACRO_EXPANSION        = NO
-
-# If the EXPAND_ONLY_PREDEF and MACRO_EXPANSION tags are both set to YES then
-# the macro expansion is limited to the macros specified with the PREDEFINED and
-# EXPAND_AS_DEFINED tags.
-# The default value is: NO.
-# This tag requires that the tag ENABLE_PREPROCESSING is set to YES.
-
-EXPAND_ONLY_PREDEF     = NO
-
-# If the SEARCH_INCLUDES tag is set to YES, the include files in the
-# INCLUDE_PATH will be searched if a #include is found.
-# The default value is: YES.
-# This tag requires that the tag ENABLE_PREPROCESSING is set to YES.
-
-SEARCH_INCLUDES        = YES
-
-# The INCLUDE_PATH tag can be used to specify one or more directories that
-# contain include files that are not input files but should be processed by the
-# preprocessor.
-# This tag requires that the tag SEARCH_INCLUDES is set to YES.
-
-INCLUDE_PATH           = 
-
-# You can use the INCLUDE_FILE_PATTERNS tag to specify one or more wildcard
-# patterns (like *.h and *.hpp) to filter out the header-files in the
-# directories. If left blank, the patterns specified with FILE_PATTERNS will be
-# used.
-# This tag requires that the tag ENABLE_PREPROCESSING is set to YES.
-
-INCLUDE_FILE_PATTERNS  = 
-
-# The PREDEFINED tag can be used to specify one or more macro names that are
-# defined before the preprocessor is started (similar to the -D option of e.g.
-# gcc). The argument of the tag is a list of macros of the form: name or
-# name=definition (no spaces). If the definition and the "=" are omitted, "=1"
-# is assumed. To prevent a macro definition from being undefined via #undef or
-# recursively expanded use the := operator instead of the = operator.
-# This tag requires that the tag ENABLE_PREPROCESSING is set to YES.
-
-PREDEFINED             = 
-
-# If the MACRO_EXPANSION and EXPAND_ONLY_PREDEF tags are set to YES then this
-# tag can be used to specify a list of macro names that should be expanded. The
-# macro definition that is found in the sources will be used. Use the PREDEFINED
-# tag if you want to use a different macro definition that overrules the
-# definition found in the source code.
-# This tag requires that the tag ENABLE_PREPROCESSING is set to YES.
-
-EXPAND_AS_DEFINED      = 
-
-# If the SKIP_FUNCTION_MACROS tag is set to YES then doxygen's preprocessor will
-# remove all references to function-like macros that are alone on a line, have
-# an all uppercase name, and do not end with a semicolon. Such function macros
-# are typically used for boiler-plate code, and will confuse the parser if not
-# removed.
-# The default value is: YES.
-# This tag requires that the tag ENABLE_PREPROCESSING is set to YES.
-
-SKIP_FUNCTION_MACROS   = YES
-
-#---------------------------------------------------------------------------
-# Configuration options related to external references
-#---------------------------------------------------------------------------
-
-# The TAGFILES tag can be used to specify one or more tag files. For each tag
-# file the location of the external documentation should be added. The format of
-# a tag file without this location is as follows:
-# TAGFILES = file1 file2 ...
-# Adding location for the tag files is done as follows:
-# TAGFILES = file1=loc1 "file2 = loc2" ...
-# where loc1 and loc2 can be relative or absolute paths or URLs. See the
-# section "Linking to external documentation" for more information about the use
-# of tag files.
-# Note: Each tag file must have a unique name (where the name does NOT include
-# the path). If a tag file is not located in the directory in which doxygen is
-# run, you must also specify the path to the tagfile here.
-
-TAGFILES               = 
-
-# When a file name is specified after GENERATE_TAGFILE, doxygen will create a
-# tag file that is based on the input files it reads. See section "Linking to
-# external documentation" for more information about the usage of tag files.
-
-GENERATE_TAGFILE       = 
-
-# If the ALLEXTERNALS tag is set to YES, all external class will be listed in
-# the class index. If set to NO, only the inherited external classes will be
-# listed.
-# The default value is: NO.
-
-ALLEXTERNALS           = NO
-
-# If the EXTERNAL_GROUPS tag is set to YES, all external groups will be listed
-# in the modules index. If set to NO, only the current project's groups will be
-# listed.
-# The default value is: YES.
-
-EXTERNAL_GROUPS        = YES
-
-# If the EXTERNAL_PAGES tag is set to YES, all external pages will be listed in
-# the related pages index. If set to NO, only the current project's pages will
-# be listed.
-# The default value is: YES.
-
-EXTERNAL_PAGES         = YES
-
-# The PERL_PATH should be the absolute path and name of the perl script
-# interpreter (i.e. the result of 'which perl').
-# The default file (with absolute path) is: /usr/bin/perl.
-
-PERL_PATH              = /usr/bin/perl
-
-#---------------------------------------------------------------------------
-# Configuration options related to the dot tool
-#---------------------------------------------------------------------------
-
-# If the CLASS_DIAGRAMS tag is set to YES, doxygen will generate a class diagram
-# (in HTML and LaTeX) for classes with base or super classes. Setting the tag to
-# NO turns the diagrams off. Note that this option also works with HAVE_DOT
-# disabled, but it is recommended to install and use dot, since it yields more
-# powerful graphs.
-# The default value is: YES.
-
-CLASS_DIAGRAMS         = NO
-
-# You can define message sequence charts within doxygen comments using the \msc
-# command. Doxygen will then run the mscgen tool (see:
-# http://www.mcternan.me.uk/mscgen/)) to produce the chart and insert it in the
-# documentation. The MSCGEN_PATH tag allows you to specify the directory where
-# the mscgen tool resides. If left empty the tool is assumed to be found in the
-# default search path.
-
-MSCGEN_PATH            = 
-
-# You can include diagrams made with dia in doxygen documentation. Doxygen will
-# then run dia to produce the diagram and insert it in the documentation. The
-# DIA_PATH tag allows you to specify the directory where the dia binary resides.
-# If left empty dia is assumed to be found in the default search path.
-
-DIA_PATH               = 
-
-# If set to YES the inheritance and collaboration graphs will hide inheritance
-# and usage relations if the target is undocumented or is not a class.
-# The default value is: YES.
-
-HIDE_UNDOC_RELATIONS   = YES
-
-# If you set the HAVE_DOT tag to YES then doxygen will assume the dot tool is
-# available from the path. This tool is part of Graphviz (see:
-# http://www.graphviz.org/), a graph visualization toolkit from AT&T and Lucent
-# Bell Labs. The other options in this section have no effect if this option is
-# set to NO
-# The default value is: YES.
-
-HAVE_DOT               = NO
-
-# The DOT_NUM_THREADS specifies the number of dot invocations doxygen is allowed
-# to run in parallel. When set to 0 doxygen will base this on the number of
-# processors available in the system. You can set it explicitly to a value
-# larger than 0 to get control over the balance between CPU load and processing
-# speed.
-# Minimum value: 0, maximum value: 32, default value: 0.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-DOT_NUM_THREADS        = 0
-
-# When you want a differently looking font in the dot files that doxygen
-# generates you can specify the font name using DOT_FONTNAME. You need to make
-# sure dot is able to find the font, which can be done by putting it in a
-# standard location or by setting the DOTFONTPATH environment variable or by
-# setting DOT_FONTPATH to the directory containing the font.
-# The default value is: Helvetica.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-DOT_FONTNAME           = Helvetica
-
-# The DOT_FONTSIZE tag can be used to set the size (in points) of the font of
-# dot graphs.
-# Minimum value: 4, maximum value: 24, default value: 10.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-DOT_FONTSIZE           = 10
-
-# By default doxygen will tell dot to use the default font as specified with
-# DOT_FONTNAME. If you specify a different font using DOT_FONTNAME you can set
-# the path where dot can find it using this tag.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-DOT_FONTPATH           = 
-
-# If the CLASS_GRAPH tag is set to YES then doxygen will generate a graph for
-# each documented class showing the direct and indirect inheritance relations.
-# Setting this tag to YES will force the CLASS_DIAGRAMS tag to NO.
-# The default value is: YES.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-CLASS_GRAPH            = YES
-
-# If the COLLABORATION_GRAPH tag is set to YES then doxygen will generate a
-# graph for each documented class showing the direct and indirect implementation
-# dependencies (inheritance, containment, and class references variables) of the
-# class with other documented classes.
-# The default value is: YES.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-COLLABORATION_GRAPH    = YES
-
-# If the GROUP_GRAPHS tag is set to YES then doxygen will generate a graph for
-# groups, showing the direct groups dependencies.
-# The default value is: YES.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-GROUP_GRAPHS           = YES
-
-# If the UML_LOOK tag is set to YES, doxygen will generate inheritance and
-# collaboration diagrams in a style similar to the OMG's Unified Modeling
-# Language.
-# The default value is: NO.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-UML_LOOK               = NO
-
-# If the UML_LOOK tag is enabled, the fields and methods are shown inside the
-# class node. If there are many fields or methods and many nodes the graph may
-# become too big to be useful. The UML_LIMIT_NUM_FIELDS threshold limits the
-# number of items for each type to make the size more manageable. Set this to 0
-# for no limit. Note that the threshold may be exceeded by 50% before the limit
-# is enforced. So when you set the threshold to 10, up to 15 fields may appear,
-# but if the number exceeds 15, the total amount of fields shown is limited to
-# 10.
-# Minimum value: 0, maximum value: 100, default value: 10.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-UML_LIMIT_NUM_FIELDS   = 10
-
-# If the TEMPLATE_RELATIONS tag is set to YES then the inheritance and
-# collaboration graphs will show the relations between templates and their
-# instances.
-# The default value is: NO.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-TEMPLATE_RELATIONS     = NO
-
-# If the INCLUDE_GRAPH, ENABLE_PREPROCESSING and SEARCH_INCLUDES tags are set to
-# YES then doxygen will generate a graph for each documented file showing the
-# direct and indirect include dependencies of the file with other documented
-# files.
-# The default value is: YES.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-INCLUDE_GRAPH          = YES
-
-# If the INCLUDED_BY_GRAPH, ENABLE_PREPROCESSING and SEARCH_INCLUDES tags are
-# set to YES then doxygen will generate a graph for each documented file showing
-# the direct and indirect include dependencies of the file with other documented
-# files.
-# The default value is: YES.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-INCLUDED_BY_GRAPH      = YES
-
-# If the CALL_GRAPH tag is set to YES then doxygen will generate a call
-# dependency graph for every global function or class method.
-#
-# Note that enabling this option will significantly increase the time of a run.
-# So in most cases it will be better to enable call graphs for selected
-# functions only using the \callgraph command. Disabling a call graph can be
-# accomplished by means of the command \hidecallgraph.
-# The default value is: NO.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-CALL_GRAPH             = NO
-
-# If the CALLER_GRAPH tag is set to YES then doxygen will generate a caller
-# dependency graph for every global function or class method.
-#
-# Note that enabling this option will significantly increase the time of a run.
-# So in most cases it will be better to enable caller graphs for selected
-# functions only using the \callergraph command. Disabling a caller graph can be
-# accomplished by means of the command \hidecallergraph.
-# The default value is: NO.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-CALLER_GRAPH           = NO
-
-# If the GRAPHICAL_HIERARCHY tag is set to YES then doxygen will graphical
-# hierarchy of all classes instead of a textual one.
-# The default value is: YES.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-GRAPHICAL_HIERARCHY    = YES
-
-# If the DIRECTORY_GRAPH tag is set to YES then doxygen will show the
-# dependencies a directory has on other directories in a graphical way. The
-# dependency relations are determined by the #include relations between the
-# files in the directories.
-# The default value is: YES.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-DIRECTORY_GRAPH        = YES
-
-# The DOT_IMAGE_FORMAT tag can be used to set the image format of the images
-# generated by dot. For an explanation of the image formats see the section
-# output formats in the documentation of the dot tool (Graphviz (see:
-# http://www.graphviz.org/)).
-# Note: If you choose svg you need to set HTML_FILE_EXTENSION to xhtml in order
-# to make the SVG files visible in IE 9+ (other browsers do not have this
-# requirement).
-# Possible values are: png, png:cairo, png:cairo:cairo, png:cairo:gd, png:gd,
-# png:gd:gd, jpg, jpg:cairo, jpg:cairo:gd, jpg:gd, jpg:gd:gd, gif, gif:cairo,
-# gif:cairo:gd, gif:gd, gif:gd:gd, svg, png:gd, png:gd:gd, png:cairo,
-# png:cairo:gd, png:cairo:cairo, png:cairo:gdiplus, png:gdiplus and
-# png:gdiplus:gdiplus.
-# The default value is: png.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-DOT_IMAGE_FORMAT       = png
-
-# If DOT_IMAGE_FORMAT is set to svg, then this option can be set to YES to
-# enable generation of interactive SVG images that allow zooming and panning.
-#
-# Note that this requires a modern browser other than Internet Explorer. Tested
-# and working are Firefox, Chrome, Safari, and Opera.
-# Note: For IE 9+ you need to set HTML_FILE_EXTENSION to xhtml in order to make
-# the SVG files visible. Older versions of IE do not have SVG support.
-# The default value is: NO.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-INTERACTIVE_SVG        = NO
-
-# The DOT_PATH tag can be used to specify the path where the dot tool can be
-# found. If left blank, it is assumed the dot tool can be found in the path.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-DOT_PATH               = 
-
-# The DOTFILE_DIRS tag can be used to specify one or more directories that
-# contain dot files that are included in the documentation (see the \dotfile
-# command).
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-DOTFILE_DIRS           = 
-
-# The MSCFILE_DIRS tag can be used to specify one or more directories that
-# contain msc files that are included in the documentation (see the \mscfile
-# command).
-
-MSCFILE_DIRS           = 
-
-# The DIAFILE_DIRS tag can be used to specify one or more directories that
-# contain dia files that are included in the documentation (see the \diafile
-# command).
-
-DIAFILE_DIRS           = 
-
-# When using plantuml, the PLANTUML_JAR_PATH tag should be used to specify the
-# path where java can find the plantuml.jar file. If left blank, it is assumed
-# PlantUML is not used or called during a preprocessing step. Doxygen will
-# generate a warning when it encounters a \startuml command in this case and
-# will not generate output for the diagram.
-
-PLANTUML_JAR_PATH      = 
-
-# When using plantuml, the PLANTUML_CFG_FILE tag can be used to specify a
-# configuration file for plantuml.
-
-PLANTUML_CFG_FILE      = 
-
-# When using plantuml, the specified paths are searched for files specified by
-# the !include statement in a plantuml block.
-
-PLANTUML_INCLUDE_PATH  = 
-
-# The DOT_GRAPH_MAX_NODES tag can be used to set the maximum number of nodes
-# that will be shown in the graph. If the number of nodes in a graph becomes
-# larger than this value, doxygen will truncate the graph, which is visualized
-# by representing a node as a red box. Note that doxygen if the number of direct
-# children of the root node in a graph is already larger than
-# DOT_GRAPH_MAX_NODES then the graph will not be shown at all. Also note that
-# the size of a graph can be further restricted by MAX_DOT_GRAPH_DEPTH.
-# Minimum value: 0, maximum value: 10000, default value: 50.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-DOT_GRAPH_MAX_NODES    = 50
-
-# The MAX_DOT_GRAPH_DEPTH tag can be used to set the maximum depth of the graphs
-# generated by dot. A depth value of 3 means that only nodes reachable from the
-# root by following a path via at most 3 edges will be shown. Nodes that lay
-# further from the root node will be omitted. Note that setting this option to 1
-# or 2 may greatly reduce the computation time needed for large code bases. Also
-# note that the size of a graph can be further restricted by
-# DOT_GRAPH_MAX_NODES. Using a depth of 0 means no depth restriction.
-# Minimum value: 0, maximum value: 1000, default value: 0.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-MAX_DOT_GRAPH_DEPTH    = 0
-
-# Set the DOT_TRANSPARENT tag to YES to generate images with a transparent
-# background. This is disabled by default, because dot on Windows does not seem
-# to support this out of the box.
-#
-# Warning: Depending on the platform used, enabling this option may lead to
-# badly anti-aliased labels on the edges of a graph (i.e. they become hard to
-# read).
-# The default value is: NO.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-DOT_TRANSPARENT        = NO
-
-# Set the DOT_MULTI_TARGETS tag to YES to allow dot to generate multiple output
-# files in one run (i.e. multiple -o and -T options on the command line). This
-# makes dot run faster, but since only newer versions of dot (>1.8.10) support
-# this, this feature is disabled by default.
-# The default value is: NO.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-DOT_MULTI_TARGETS      = NO
-
-# If the GENERATE_LEGEND tag is set to YES doxygen will generate a legend page
-# explaining the meaning of the various boxes and arrows in the dot generated
-# graphs.
-# The default value is: YES.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-GENERATE_LEGEND        = YES
-
-# If the DOT_CLEANUP tag is set to YES, doxygen will remove the intermediate dot
-# files that are used to generate the various graphs.
-# The default value is: YES.
-# This tag requires that the tag HAVE_DOT is set to YES.
-
-DOT_CLEANUP            = YES
diff --git a/finn-hlslib/docs/requirements.txt b/finn-hlslib/docs/requirements.txt
deleted file mode 100644
index 28bb7dedcfe66812f9381451dc682048e0900ff1..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/requirements.txt
+++ /dev/null
@@ -1,29 +0,0 @@
-alabaster==0.7.12
-Babel==2.7.0
-breathe==4.13.0.post0
-certifi==2019.3.9
-chardet==3.0.4
-commonmark==0.9.0
-docutils==0.14
-future==0.17.1
-idna==2.8
-imagesize==1.1.0
-Jinja2==2.10.1
-MarkupSafe==1.1.1
-packaging==19.0
-Pygments==2.4.1
-pyparsing==2.4.0
-pytz==2019.1
-recommonmark==0.5.0
-requests==2.22.0
-six==1.12.0
-snowballstemmer==1.2.1
-Sphinx==2.0.1
-sphinx-rtd-theme==0.4.3
-sphinxcontrib-applehelp==1.0.1
-sphinxcontrib-devhelp==1.0.1
-sphinxcontrib-htmlhelp==1.0.2
-sphinxcontrib-jsmath==1.0.1
-sphinxcontrib-qthelp==1.0.2
-sphinxcontrib-serializinghtml==1.1.3
-urllib3==1.25.3
diff --git a/finn-hlslib/docs/source/conf.py b/finn-hlslib/docs/source/conf.py
deleted file mode 100755
index c708b433e3e31f72a0738f780c5a60c4b5a3b3ee..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/source/conf.py
+++ /dev/null
@@ -1,93 +0,0 @@
-#   Copyright (c) 2019, Xilinx, Inc.
-#   All rights reserved.
-# 
-#   Redistribution and use in source and binary forms, with or without 
-#   modification, are permitted provided that the following conditions are met:
-#
-#   1.  Redistributions of source code must retain the above copyright notice, 
-#       this list of conditions and the following disclaimer.
-#
-#   2.  Redistributions in binary form must reproduce the above copyright 
-#       notice, this list of conditions and the following disclaimer in the 
-#       documentation and/or other materials provided with the distribution.
-#
-#   3.  Neither the name of the copyright holder nor the names of its 
-#       contributors may be used to endorse or promote products derived from 
-#       this software without specific prior written permission.
-#
-#   THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-#   AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, 
-#   THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR 
-#   PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR 
-#   CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, 
-#   EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, 
-#   PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-#   OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, 
-#   WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR 
-#   OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF 
-#   ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-# Configuration file for the Sphinx documentation builder.
-#
-# This file only contains a selection of the most common options. For a full
-# list see the documentation:
-# http://www.sphinx-doc.org/en/master/config
-
-# -- Path setup --------------------------------------------------------------
-
-# If extensions (or modules to document with autodoc) are in another directory,
-# add these directories to sys.path here. If the directory is relative to the
-# documentation root, use os.path.abspath to make it absolute, like shown here.
-#
-
-import sys
-import datetime
-import subprocess, os
-
-read_the_docs_build = os.environ.get('READTHEDOCS', None) == 'True'
-
-if read_the_docs_build:
-
-    subprocess.call('cd ../doxygen; doxygen Doxyfile', shell=True)
-
-# -- Project information -----------------------------------------------------
-
-project = 'finn-hls'
-copyright = '%s, Xilinx' %str(datetime.datetime.now().year)
-author = 'Xilinx'
-
-# The full version, including alpha/beta/rc tags
-release = '0.1'
-
-
-breathe_projects = {"myproject": "../doxygen/xml/"}
-breathe_default_project = "myproject"
-
-# -- General configuration ---------------------------------------------------
-
-# Add any Sphinx extension module names here, as strings. They can be
-# extensions coming with Sphinx (named 'sphinx.ext.*') or your custom
-# ones.
-extensions = ['recommonmark','breathe']
-
-# Add any paths that contain templates here, relative to this directory.
-templates_path = ['_templates']
-
-# List of patterns, relative to source directory, that match files and
-# directories to ignore when looking for source files.
-# This pattern also affects html_static_path and html_extra_path.
-exclude_patterns = []
-
-autoclass_content = 'both'
-
-# -- Options for HTML output -------------------------------------------------
-
-# The theme to use for HTML and HTML Help pages.  See the documentation for
-# a list of builtin themes.
-#
-html_theme = 'sphinx_rtd_theme'
-
-# Add any paths that contain custom static files (such as style sheets) here,
-# relative to this directory. They are copied after the builtin static files,
-# so a file named "default.css" will overwrite the builtin "default.css".
-html_static_path = ['_static']
diff --git a/finn-hlslib/docs/source/index.rst b/finn-hlslib/docs/source/index.rst
deleted file mode 100755
index 13a65da13680022a04822fb7a6376b67f60bdd5b..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/source/index.rst
+++ /dev/null
@@ -1,65 +0,0 @@
-.. Copyright (c) 2019, Xilinx, Inc.
-.. All rights reserved.
-
-.. Redistribution and use in source and binary forms, with or without
-.. modification, are permitted provided that the following conditions are met:
-
-.. 1.  Redistributions of source code must retain the above copyright notice,
-..    this list of conditions and the following disclaimer.
-
-.. 2.  Redistributions in binary form must reproduce the above copyright
-..     notice, this list of conditions and the following disclaimer in the
-..     documentation and/or other materials provided with the distribution.
-
-.. 3.  Neither the name of the copyright holder nor the names of its
-..     contributors may be used to endorse or promote products derived from
-..     this software without specific prior written permission.
-
-.. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-.. AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-.. THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-.. PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
-.. CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-.. EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-.. PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-.. OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-.. WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-.. OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-.. ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-====================================
-Introduction
-====================================
-FINN is an experimental framework from Xilinx Research Labs to explore deep neural network inference on FPGAs. It specifically targets quantized neural networks, with emphasis on generating dataflow-style architectures customized for each network. It is not intended to be a generic DNN accelerator like xDNN, but rather a tool for exploring the design space of DNN inference accelerators on FPGAs. 
-
-====================================
-FINN-HLS content
-====================================
-
-The FINN-HLS repository contains the C++ description of multiple layers for the implementation of quantized neural networks using dataflow architecture. 
-The library serves as a hardware backend for the FINN project, and it integrates in Xilinx Vivado HLS tool.
-
-
-.. toctree::
-   :maxdepth: 2
-
-
-   
-  library/activations 
-  library/weights 
-  library/matrixvector 
-  library/dma
-  library/maxpool 
-  library/fclayer 
-  library/convlayer 
-  library/swg
-  library/streamtools 
-  library/mac
-  library/mmv
-
-Indices and tables
-==================
-
-* :ref:`genindex`
-* :ref:`modindex`
-* :ref:`search`
diff --git a/finn-hlslib/docs/source/library/activations.rst b/finn-hlslib/docs/source/library/activations.rst
deleted file mode 100755
index ce488532a2ae177babb2214593a2a289748bdc85..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/source/library/activations.rst
+++ /dev/null
@@ -1,51 +0,0 @@
-.. Copyright (c) 2019, Xilinx, Inc.
-.. All rights reserved.
-
-.. Redistribution and use in source and binary forms, with or without
-.. modification, are permitted provided that the following conditions are met:
-
-.. 1.  Redistributions of source code must retain the above copyright notice,
-..    this list of conditions and the following disclaimer.
-
-.. 2.  Redistributions in binary form must reproduce the above copyright
-..     notice, this list of conditions and the following disclaimer in the
-..     documentation and/or other materials provided with the distribution.
-
-.. 3.  Neither the name of the copyright holder nor the names of its
-..     contributors may be used to endorse or promote products derived from
-..     this software without specific prior written permission.
-
-.. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-.. AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-.. THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-.. PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
-.. CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-.. EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-.. PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-.. OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-.. WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-.. OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-.. ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-====================================
-activations.hpp
-====================================
-
-The activations.hpp file lists a set of classes used to implement the activation part of the mvau. 
-
-
-.. doxygenclass:: Activation
-   :members:
-   :private-members:
-
-.. doxygenclass:: PassThroughActivation
-   :members:
-   :private-members:
-
-.. doxygenclass:: ThresholdActivation
-   :members:
-   :private-members:
-
-.. doxygenclass:: ThresholdsActivation
-   :members:
-   :private-members:
diff --git a/finn-hlslib/docs/source/library/convlayer.rst b/finn-hlslib/docs/source/library/convlayer.rst
deleted file mode 100755
index a669cfd9403e122e651036660c0e032ca64e2227..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/source/library/convlayer.rst
+++ /dev/null
@@ -1,42 +0,0 @@
-.. Copyright (c) 2019, Xilinx, Inc.
-.. All rights reserved.
-
-.. Redistribution and use in source and binary forms, with or without
-.. modification, are permitted provided that the following conditions are met:
-
-.. 1.  Redistributions of source code must retain the above copyright notice,
-..    this list of conditions and the following disclaimer.
-
-.. 2.  Redistributions in binary form must reproduce the above copyright
-..     notice, this list of conditions and the following disclaimer in the
-..     documentation and/or other materials provided with the distribution.
-
-.. 3.  Neither the name of the copyright holder nor the names of its
-..     contributors may be used to endorse or promote products derived from
-..     this software without specific prior written permission.
-
-.. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-.. AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-.. THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-.. PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
-.. CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-.. EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-.. PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-.. OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-.. WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-.. OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-.. ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-====================================
-convlayer.h
-====================================
-
-The convlayer.h file describes the HLS implementation of the convolutional layer. 
-
-
-.. doxygenfile:: convlayer.h
-
-
-
-
-
diff --git a/finn-hlslib/docs/source/library/dma.rst b/finn-hlslib/docs/source/library/dma.rst
deleted file mode 100755
index 222c0895daec6220ec9539f8620046bbb0afac98..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/source/library/dma.rst
+++ /dev/null
@@ -1,41 +0,0 @@
-.. Copyright (c) 2019, Xilinx, Inc.
-.. All rights reserved.
-
-.. Redistribution and use in source and binary forms, with or without
-.. modification, are permitted provided that the following conditions are met:
-
-.. 1.  Redistributions of source code must retain the above copyright notice,
-..    this list of conditions and the following disclaimer.
-
-.. 2.  Redistributions in binary form must reproduce the above copyright
-..     notice, this list of conditions and the following disclaimer in the
-..     documentation and/or other materials provided with the distribution.
-
-.. 3.  Neither the name of the copyright holder nor the names of its
-..     contributors may be used to endorse or promote products derived from
-..     this software without specific prior written permission.
-
-.. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-.. AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-.. THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-.. PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
-.. CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-.. EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-.. PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-.. OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-.. WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-.. OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-.. ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-====================================
-dma.h
-====================================
-
-The dma.h file describes the HLS blocks used to communicate between programmable logic and host arm processor in Zynq and Zynq Ultrascale+ devices.  
-
-.. doxygenfile:: dma.h
-
-
-
-
-
diff --git a/finn-hlslib/docs/source/library/fclayer.rst b/finn-hlslib/docs/source/library/fclayer.rst
deleted file mode 100755
index 92a874fa8b30f83dbc57371225ef02c1115d5a9d..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/source/library/fclayer.rst
+++ /dev/null
@@ -1,42 +0,0 @@
-.. Copyright (c) 2019, Xilinx, Inc.
-.. All rights reserved.
-
-.. Redistribution and use in source and binary forms, with or without
-.. modification, are permitted provided that the following conditions are met:
-
-.. 1.  Redistributions of source code must retain the above copyright notice,
-..    this list of conditions and the following disclaimer.
-
-.. 2.  Redistributions in binary form must reproduce the above copyright
-..     notice, this list of conditions and the following disclaimer in the
-..     documentation and/or other materials provided with the distribution.
-
-.. 3.  Neither the name of the copyright holder nor the names of its
-..     contributors may be used to endorse or promote products derived from
-..     this software without specific prior written permission.
-
-.. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-.. AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-.. THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-.. PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
-.. CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-.. EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-.. PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-.. OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-.. WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-.. OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-.. ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-====================================
-fclayer.h
-====================================
-
-The fclayer.h file describes the HLS implementation of the fully connected layer. 
-
-
-.. doxygenfile:: fclayer.h
-
-
-
-
-
diff --git a/finn-hlslib/docs/source/library/mac.rst b/finn-hlslib/docs/source/library/mac.rst
deleted file mode 100755
index 3f31d1a81aef8becf1c3c149a1f0feaf578dc2cc..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/source/library/mac.rst
+++ /dev/null
@@ -1,38 +0,0 @@
-.. Copyright (c) 2019, Xilinx, Inc.
-.. All rights reserved.
-
-.. Redistribution and use in source and binary forms, with or without
-.. modification, are permitted provided that the following conditions are met:
-
-.. 1.  Redistributions of source code must retain the above copyright notice,
-..    this list of conditions and the following disclaimer.
-
-.. 2.  Redistributions in binary form must reproduce the above copyright
-..     notice, this list of conditions and the following disclaimer in the
-..     documentation and/or other materials provided with the distribution.
-
-.. 3.  Neither the name of the copyright holder nor the names of its
-..     contributors may be used to endorse or promote products derived from
-..     this software without specific prior written permission.
-
-.. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-.. AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-.. THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-.. PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
-.. CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-.. EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-.. PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-.. OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-.. WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-.. OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-.. ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-====================================
-mac.hpp
-====================================
-
-The mac.hpp file collects the definition of the multiply operation used in the matrix-vector block, as well as the used multiplication
-
-
-.. doxygenfile:: mac.hpp
-
diff --git a/finn-hlslib/docs/source/library/matrixvector.rst b/finn-hlslib/docs/source/library/matrixvector.rst
deleted file mode 100755
index 913ad0f8720cb0628e3ae42a6510841373c1be61..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/source/library/matrixvector.rst
+++ /dev/null
@@ -1,44 +0,0 @@
-.. Copyright (c) 2019, Xilinx, Inc.
-.. All rights reserved.
-
-.. Redistribution and use in source and binary forms, with or without
-.. modification, are permitted provided that the following conditions are met:
-
-.. 1.  Redistributions of source code must retain the above copyright notice,
-..    this list of conditions and the following disclaimer.
-
-.. 2.  Redistributions in binary form must reproduce the above copyright
-..     notice, this list of conditions and the following disclaimer in the
-..     documentation and/or other materials provided with the distribution.
-
-.. 3.  Neither the name of the copyright holder nor the names of its
-..     contributors may be used to endorse or promote products derived from
-..     this software without specific prior written permission.
-
-.. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-.. AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-.. THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-.. PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
-.. CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-.. EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-.. PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-.. OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-.. WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-.. OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-.. ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-====================================
-mvau.hpp
-====================================
-
-The mvau.hpp file describes the core compute block of the library, namely the matrix vector . 
-
-
-.. doxygenfile:: mvau.hpp
-
-
-
-
-
-
-
diff --git a/finn-hlslib/docs/source/library/maxpool.rst b/finn-hlslib/docs/source/library/maxpool.rst
deleted file mode 100755
index d22ed20577295f0d5a2100a90cf108a25208410b..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/source/library/maxpool.rst
+++ /dev/null
@@ -1,38 +0,0 @@
-.. Copyright (c) 2019, Xilinx, Inc.
-.. All rights reserved.
-
-.. Redistribution and use in source and binary forms, with or without
-.. modification, are permitted provided that the following conditions are met:
-
-.. 1.  Redistributions of source code must retain the above copyright notice,
-..    this list of conditions and the following disclaimer.
-
-.. 2.  Redistributions in binary form must reproduce the above copyright
-..     notice, this list of conditions and the following disclaimer in the
-..     documentation and/or other materials provided with the distribution.
-
-.. 3.  Neither the name of the copyright holder nor the names of its
-..     contributors may be used to endorse or promote products derived from
-..     this software without specific prior written permission.
-
-.. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-.. AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-.. THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-.. PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
-.. CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-.. EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-.. PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-.. OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-.. WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-.. OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-.. ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-====================================
-maxpool.h
-====================================
-
-The maxpool.h file describes the HLS implementation of the maxpool layer.  
-
-
-.. doxygenfile:: maxpool.h
-
diff --git a/finn-hlslib/docs/source/library/mmv.rst b/finn-hlslib/docs/source/library/mmv.rst
deleted file mode 100755
index df33ce437ebd072052a8c594d7fc587b2116cc45..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/source/library/mmv.rst
+++ /dev/null
@@ -1,38 +0,0 @@
-.. Copyright (c) 2019, Xilinx, Inc.
-.. All rights reserved.
-
-.. Redistribution and use in source and binary forms, with or without
-.. modification, are permitted provided that the following conditions are met:
-
-.. 1.  Redistributions of source code must retain the above copyright notice,
-..    this list of conditions and the following disclaimer.
-
-.. 2.  Redistributions in binary form must reproduce the above copyright
-..     notice, this list of conditions and the following disclaimer in the
-..     documentation and/or other materials provided with the distribution.
-
-.. 3.  Neither the name of the copyright holder nor the names of its
-..     contributors may be used to endorse or promote products derived from
-..     this software without specific prior written permission.
-
-.. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-.. AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-.. THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-.. PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
-.. CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-.. EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-.. PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-.. OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-.. WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-.. OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-.. ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-====================================
-mmv.hpp
-====================================
-
-The mmv.hpp file collects the description of the class used for Matrix-Multi-Vector compute
-
-
-.. doxygenfile:: mmv.hpp
-
diff --git a/finn-hlslib/docs/source/library/streamtools.rst b/finn-hlslib/docs/source/library/streamtools.rst
deleted file mode 100755
index 242fd9ac7523ef7737f3121c86da06d4d3da0792..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/source/library/streamtools.rst
+++ /dev/null
@@ -1,38 +0,0 @@
-.. Copyright (c) 2019, Xilinx, Inc.
-.. All rights reserved.
-
-.. Redistribution and use in source and binary forms, with or without
-.. modification, are permitted provided that the following conditions are met:
-
-.. 1.  Redistributions of source code must retain the above copyright notice,
-..    this list of conditions and the following disclaimer.
-
-.. 2.  Redistributions in binary form must reproduce the above copyright
-..     notice, this list of conditions and the following disclaimer in the
-..     documentation and/or other materials provided with the distribution.
-
-.. 3.  Neither the name of the copyright holder nor the names of its
-..     contributors may be used to endorse or promote products derived from
-..     this software without specific prior written permission.
-
-.. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-.. AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-.. THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-.. PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
-.. CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-.. EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-.. PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-.. OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-.. WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-.. OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-.. ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-====================================
-streamtools.h
-====================================
-
-The streamtools.h file collects multiple convenience functions for streams manipulation
-
-
-.. doxygenfile:: streamtools.h
-
diff --git a/finn-hlslib/docs/source/library/swg.rst b/finn-hlslib/docs/source/library/swg.rst
deleted file mode 100755
index 3f91177db3953b51aeecc9631ec4d95d3609b87d..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/source/library/swg.rst
+++ /dev/null
@@ -1,42 +0,0 @@
-.. Copyright (c) 2019, Xilinx, Inc.
-.. All rights reserved.
-
-.. Redistribution and use in source and binary forms, with or without
-.. modification, are permitted provided that the following conditions are met:
-
-.. 1.  Redistributions of source code must retain the above copyright notice,
-..    this list of conditions and the following disclaimer.
-
-.. 2.  Redistributions in binary form must reproduce the above copyright
-..     notice, this list of conditions and the following disclaimer in the
-..     documentation and/or other materials provided with the distribution.
-
-.. 3.  Neither the name of the copyright holder nor the names of its
-..     contributors may be used to endorse or promote products derived from
-..     this software without specific prior written permission.
-
-.. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-.. AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-.. THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-.. PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
-.. CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-.. EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-.. PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-.. OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-.. WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-.. OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-.. ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-====================================
-slidingwindow.h
-====================================
-
-The slidingwindow.h file describes the HLS implementation of the sliding window unit, implementing the im2col for convolutional layers 
-
-
-.. doxygenfile:: slidingwindow.h
-
-
-
-
-
diff --git a/finn-hlslib/docs/source/library/weights.rst b/finn-hlslib/docs/source/library/weights.rst
deleted file mode 100755
index 7a415894daa0850e89a2b47a67ecbc32c9eefe30..0000000000000000000000000000000000000000
--- a/finn-hlslib/docs/source/library/weights.rst
+++ /dev/null
@@ -1,45 +0,0 @@
-.. Copyright (c) 2019, Xilinx, Inc.
-.. All rights reserved.
-
-.. Redistribution and use in source and binary forms, with or without
-.. modification, are permitted provided that the following conditions are met:
-
-.. 1.  Redistributions of source code must retain the above copyright notice,
-..    this list of conditions and the following disclaimer.
-
-.. 2.  Redistributions in binary form must reproduce the above copyright
-..     notice, this list of conditions and the following disclaimer in the
-..     documentation and/or other materials provided with the distribution.
-
-.. 3.  Neither the name of the copyright holder nor the names of its
-..     contributors may be used to endorse or promote products derived from
-..     this software without specific prior written permission.
-
-.. THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-.. AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-.. THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-.. PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
-.. CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
-.. EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
-.. PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-.. OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-.. WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
-.. OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
-.. ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-====================================
-weigths.hpp
-====================================
-
-The weigths.hpp file lists a set of classes used to implement the weigths memory at multiple precisions. 
-
-
-.. doxygenclass:: BinaryWeights
-   :members:
-   :private-members:
-
-.. doxygenclass:: FixedPointWeights
-   :members:
-   :private-members:
-
-
diff --git a/finn-hlslib/fclayer.h b/finn-hlslib/fclayer.h
deleted file mode 100755
index 64301193723e8cfd5c7438e1f8b9db343e82d1d5..0000000000000000000000000000000000000000
--- a/finn-hlslib/fclayer.h
+++ /dev/null
@@ -1,113 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- *****************************************************************************/
- 
-/*****************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog\xilinx.com>
- *           Thomas B. Preusser <thomas.preusser\utexas.edu>
- *             Marie-Curie Fellow, Xilinx Ireland, Grant Agreement No. 751339
- *           Christoph Doehring <cdoehrin\xilinx.com>
- *
- *  \file fclayer.h
- *
- *  Library of templated HLS functions for BNN deployment. 
- *  This file lists a set of convenience funtions used to implement fully 
- *  connected layers
- *
- *****************************************************************************/
- 
-#ifndef FCLAYER_H
-#define FCLAYER_H
-
-#include <ap_int.h>
-#include <hls_stream.h>
-
-#include "streamtools.h"
-#include "mvau.hpp"
-
-/**
- * \brief Fully connected layer implementation
- *
- * The function implements the fully connected layer, and it's basically a thin wrapper around the 
- * Matrix_Vector_Activate_Batch function to perform the stream width conversion.
- * 
- * \tparam MatrixW    Width of the input matrix
- * \tparam MatrixH    Heigth of the input matrix
- * \tparam SIMD       Number of input columns computed in parallel
- * \tparam PE         Number of output rows computed in parallel
- * \tparam TSrcI      DataType of the input activation (as used in the MAC)
- * \tparam TDstI      DataType of the output activation (as generated by the activation)
- * \tparam TWeightI   DataType of the weights (as used in the MAC)
- * \tparam InStreamW  Width of the input stream
- * \tparam OutStreamW Width of the output stream
- * \tparam TW         DataType of the weights matrix - safely deducible from the paramaters
- * \tparam TA         DataType of the activation class (e.g. thresholds) - safely deducible from the paramaters
- * \tparam R          Datatype for the resource used for FPGA implementation of the MAC  - safely deducible from the paramaters
- *
- * \param in          Input stream
- * \param out         Output stream
- * \param weights     Weights matrix (currently supports BinaryWeights or FixedPointWeights)
- * \param activation  Activation class
- * \param reps        Number of time the function has to be repeatedly executed (e.g. number of images)
- * \param r           Resource type for the hardware implementation of the MAC block
- */
-template<
-  unsigned int MatrixW, unsigned int MatrixH, // geometry must be specified
-  unsigned int SIMD,    unsigned int PE,
-
-  typename TSrcI = Identity,      // redefine I/O interpretation as needed
-  typename TDstI = Identity,
-  typename TWeightI = Identity,	  // redefine I/O interpretation as needed for weigths
-
-  int InStreamW, int OutStreamW,  // safely deducible (stream width must be int though!)
-  typename TW,   typename TA, typename R
->
-void StreamingFCLayer_Batch(hls::stream<ap_uint<InStreamW>>  &in,
-			    hls::stream<ap_uint<OutStreamW>> &out,
-			    TW const        &weights,
-			    TA const        &activation,
-			    unsigned const   reps,
-				R const &r) {
-#pragma HLS INLINE
-  unsigned const  InpPerImage = MatrixW / InStreamW * TSrcI::width;
-  unsigned const  OutPerImage = MatrixH / PE;
-
-  WidthAdjustedInputStream <InStreamW, SIMD*TSrcI::width, InpPerImage>  wa_in (in,  reps);
-  WidthAdjustedOutputStream<PE*TDstI::width,  OutStreamW, OutPerImage>  wa_out(out, reps);
-
-  Matrix_Vector_Activate_Batch<MatrixW, MatrixH, SIMD, PE, TSrcI, TDstI, TWeightI>
-    (static_cast<hls::stream<ap_uint<SIMD*TSrcI::width>>&>(wa_in),
-     static_cast<hls::stream<ap_uint<PE*TDstI::width>>&>  (wa_out),
-     weights, activation, reps, r);
-}
-
-#endif
diff --git a/finn-hlslib/interpret.hpp b/finn-hlslib/interpret.hpp
deleted file mode 100755
index 6be17bd8b6485b9883192741637cf715c7cf32f0..0000000000000000000000000000000000000000
--- a/finn-hlslib/interpret.hpp
+++ /dev/null
@@ -1,286 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- *****************************************************************************/
-
-/*****************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *           Thomas B. Preusser <thomas.preusser@utexas.edu>
- *             Marie-Curie Fellow, Xilinx Ireland, Grant Agreement No. 751339
- *           Christoph Doehring <cdoehrin@xilinx.com>
- *
- *  @file interpret.hpp
- *
- *  This project has received funding from the European Union's Framework
- *  Programme for Research and Innovation Horizon 2020 (2014-2020) under
- *  the Marie Skłodowska-Curie Grant Agreement No. 751339.
- *
- *****************************************************************************/
-
-#ifndef INTERPRET_HPP
-#define INTERPRET_HPP
-
-#include <ap_int.h>
-
-/**
- * Thin wrapper around an ap_uint<1> redefining multiplication with
- * another ap_uint<1> as XNOR operation for use in XNOR networks.
- */
-class XnorMul {
-  ap_uint<1> const  m_val;
- public:
-  XnorMul(ap_uint<1> const  val) : m_val(val) {
-#pragma HLS inline
-  }
-
- public:
-  int operator*(ap_uint<1> const &b) const {
-#pragma HLS inline
-    return  m_val == b? 1 : 0;
-  }
-};
-inline int operator*(ap_uint<1> const &a, XnorMul const &b) {
-#pragma HLS inline
-  return  b*a;
-}
-
-class Binary {
- public:
-  ap_uint<1> const  m_val;
-  Binary(ap_uint<1> const  val) : m_val(val) {
-#pragma HLS inline
-  }
-
- public:
-  operator ap_int<2> () const {
-    return ap_int<2>(m_val? 1 : -1);
-  }
-  template<typename T>
-  auto operator*(T const &b) const -> decltype(ap_int<2>(1)*b) {
-#pragma HLS inline
-    return  m_val? static_cast<decltype(-b)>(b) : -b;
-  }
-  friend std::ostream& operator<<(std::ostream&, Binary const&);
-};
-
-template<typename T>
-inline int operator*(T const &a, Binary const &b) {
-#pragma HLS inline
-  return  b*a;
-}
-
-inline int operator*(Binary const &a, Binary const &b) {
-#pragma HLS inline
-  return (ap_int<2>) b* (ap_int<2>)a;
-}
-
-inline std::ostream& operator<<(std::ostream &out, Binary const &b) {
-  out << (b.m_val? "1" : "-1");
-  return  out;
-}
-
-struct Identity {
-  static unsigned const  width = 1;
-
-  template<typename T>
-  T const &operator()(T const &v) const {
-#pragma HLS inline
-    return  v;
-  }
-  
-  template<typename T>
-  T operator()() const {
-#pragma HLS inline
-    return  T();
-  }
-};
-
-template<typename T>
-class Recast {
- public:
-  static unsigned const  width = 1;
-
- private:
-  template<typename TV>
-  class Container {
-    TV  m_val;
-   public:
-    Container(TV const &val) : m_val(val) {
-#pragma HLS inline
-    }
-
-   public:
-    T operator[](unsigned const  idx) const {
-#pragma HLS inline
-      return  T(m_val[idx]);
-    }
-    auto operator[](unsigned const  idx) -> decltype(m_val[idx]) {
-#pragma HLS inline
-      return  m_val[idx];
-    }
-    operator TV const&() const {
-#pragma HLS inline
-      return  m_val;
-    }
-   };
-
- public:
-  template<typename TV>
-  Container<TV> operator()(TV const &val) const {
-#pragma HLS inline
-    return  Container<TV>(val);
-  }
-  template<typename TV>
-  Container<TV> operator()() const {
-#pragma HLS inline
-    return  Container<TV>();
-  }
-};
-
-template<typename T>
-struct Caster {
-	template<int M>
-	static T cast(ap_int<M> const &arg) { return  T(arg); }
-};
-
-template<int W, int I, ap_q_mode Q, ap_o_mode O, int N>
-struct Caster<ap_fixed<W, I, Q, O, N>> {
-  template<int M>
-  static ap_fixed<W, I, Q, O, N> cast(ap_int<M> const &arg) {
-    return *reinterpret_cast<ap_fixed<W, I, Q, O, N> const*>(&arg);
-  }
-}; 
-
-template<typename T, unsigned STRIDE=T::width>
-class Slice {
- public:
-  static unsigned const  width = STRIDE;
-
- private:
-  template<typename TV>
-  class Container {
-    TV  m_val;
-
-   public:
-    Container() {
-#pragma HLS inline
-    }
-    Container(TV const &val) : m_val(val) {
-#pragma HLS inline
-    }
-   public:
-    auto access(unsigned const  mmv) -> decltype(m_val) {
-#pragma HLS inline
-    return  m_val;;
-  }
-    auto operator()(unsigned const idx, unsigned const mmv) const -> decltype(m_val(STRIDE, 0)) {
-#pragma HLS inline
-      return  m_val((idx+1)*STRIDE-1, idx*STRIDE);
-    }
-    auto operator[](unsigned mmv) const -> decltype(m_val) {
-#pragma HLS inline
-      return  m_val;
-    }
-    operator TV const&() const {
-#pragma HLS inline
-      return  m_val;
-    }
-  };
-
- public:
-  template<typename TV>
-  Container<TV> operator()(TV const &val) const {
-#pragma HLS inline
-    return  Container<TV>(val);
-  }
-  template<typename TV>
-  Container<TV> operator()() const {
-#pragma HLS inline
-    return  Container<TV>();
-  }
-  template<typename TV>
-  Container<TV> operator() (TV const &val, unsigned mmv) const {
-#pragma HLS inline
-    return  Container<TV>(val);
-  }
-};
-
-// This class is done for Slicing an MMV container (vector of ap_uint
-template<typename T, unsigned MMV, unsigned STRIDE=T::width>
-class Slice_mmv {
- public:
-  static unsigned const  width = STRIDE;
- private:
-  template<typename TV>
-  class Container {
-    TV  m_val;
-
-   public:
-    Container() {
-#pragma HLS inline
-    }
-    Container(TV const &val, unsigned mmv) : m_val(val){
-#pragma HLS inline
-    }
-   public:
-    operator TV const&() const {
-#pragma HLS inline
-      return  m_val;
-    };
-    auto operator()(unsigned const idx, unsigned const mmv) const -> decltype(m_val.data[mmv](STRIDE, 0)) {
-#pragma HLS inline
-      return  m_val.data[mmv]((idx+1)*STRIDE-1, idx*STRIDE);
-    };
-    auto operator[](unsigned const mmv) const -> decltype(m_val.data[mmv]) {
-#pragma HLS inline
-      return  m_val.data[mmv];
-    }
-  };
-
- public:
-  template<typename TV>
-  Container<TV> operator()(TV const &val) const {
-#pragma HLS inline
-    return  Container<TV>(val);
-  }
-  template<typename TV>
-  Container<TV> operator()() const {
-#pragma HLS inline
-    return  Container<TV>();
-  }
-  template<typename TV>
-  Container<TV> operator()(TV const &val, unsigned mmv)  {
-#pragma HLS inline
-    return  Container<TV>(val,mmv);
-  }
-};
-
-#endif
\ No newline at end of file
diff --git a/finn-hlslib/mac.hpp b/finn-hlslib/mac.hpp
deleted file mode 100755
index 38136c3d35b3017bc2812e99edfc6d124bbdc1c2..0000000000000000000000000000000000000000
--- a/finn-hlslib/mac.hpp
+++ /dev/null
@@ -1,206 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- *****************************************************************************/
-
-/*****************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *           Thomas B. Preusser <thomas.preusser@utexas.edu>
- *             Marie-Curie Fellow, Xilinx Ireland, Grant Agreement No. 751339
- *           Christoph Doehring <cdoehrin@xilinx.com>
- *
- *  \file mac.hpp
- *
- *  Library of templated HLS functions for BNN deployment.
- *  This file lists a set of convenience funtions used to implement
- *  multipliers with selectable implementation resource
- *
- *  This project has received funding from the European Union's Framework
- *  Programme for Research and Innovation Horizon 2020 (2014-2020) under
- *  the Marie Skłodowska-Curie Grant Agreement No. 751339.
- *
- *****************************************************************************/
- 
-/*****************************************************************************
- * MAC operation template:
- *
- *   mac<N, T, TC, TD>(T a, TC c[N], TD d[N])
- *      = a + SUM_{i=0}^{N-1} c(i)*d(i)
- *
- * All template arguments but N can typically be inferred.
- *
- *   mac<ap_uint<14>>(0, c, d)
- *****************************************************************************/
- 
-#ifndef MAC_HPP
-#define MAC_HPP
-
-#include "utils.hpp"
-
-
-/**
- * \brief      Multipliy operation between 2 operands, HLS choose the best resource
- * 
- * The same multiply operation can be implemented using multiple Vivado HLS pragmas to select the 
- * hardware resource to be used:
- * ap_resource_dflt will let HLS choose the best one
- * ap_resource_lut will force HLS to implement the multiplier in LUTs
- * ap_resource_dsp will force HLS to implement the multiplier in DSP48
- *
- * \tparam     TC    First operand datatype (weights)
- * \tparam     TD    Second operand datatype (input)
- * 
- * \param      c     First operand (array of weights)
- * \param      d     Second operand (array of input activation)
- * \param      r     Resource type for the hardware implementation of the MAC block
- *
- * \return     Result of the multiply operation
- */
-template<typename TC, typename TD>
-auto mul(TC const &c, TD const &d, ap_resource_dflt const&) -> decltype(c*d) {
-#pragma HLS inline
-  auto  r = c*d;
-  return  r;
-}
-
-/**
- * \brief      Multiply operation between 2 operands, implemented in LUT
- * 
- * The same multiply operation can be implemented using multiple Vivado HLS pragmas to select the 
- * hardware resource to be used:
- * ap_resource_dflt will let HLS choose the best one
- * ap_resource_lut will force HLS to implement the multiplier in LUTs
- * ap_resource_dsp will force HLS to implement the multiplier in DSP48
- *
- * \tparam     TC    First operand datatype (weights)
- * \tparam     TD    Second operand datatype (input)
- * 
- * \param      c     First operand (array of weights)
- * \param      d     Second operand (array of input activation)
- * \param      r     Resource type for the hardware implementation of the MAC block
- *
- * \return     Result of the multiply operation
- */
-template<typename TC, typename TD>
-auto mul(TC const &c, TD const &d, ap_resource_lut const&) -> decltype(c*d) {
-#pragma HLS inline
-  decltype(c*d) const  res = c*d;
-#pragma HLS RESOURCE variable=res core=Mul_LUT
-  return  res;
-}
-
-/**
- * \brief      Multipliy operation between 2 operands, implemented in a DSP48
- * 
- * The same multiply operation can be implemented using multiple Vivado HLS pragmas to select the 
- * hardware resource to be used:
- * ap_resource_dflt will let HLS choose the best one
- * ap_resource_lut will force HLS to implement the multiplier in LUTs
- * ap_resource_dsp will force HLS to implement the multiplier in DSP48
- *
- * \tparam     TC    First operand datatype (weights)
- * \tparam     TD    Second operand datatype (input)
- * 
- * \param      c     First operand (array of weights)
- * \param      d     Second operand (array of input activation)
- * \param      r     Resource type for the hardware implementation of the MAC block
- *
- * \return     Result of the multiply operation
- */
-template<typename TC, typename TD>
-auto mul(TC const &c, TD const &d, ap_resource_dsp const&) -> decltype(c*d) {
-#pragma HLS inline
-  decltype(c*d) const  res = c*d;
-#pragma HLS RESOURCE variable=res core=DSP48
-  return  res;
-}
-
-/**
- * \brief      MAC with selectable implementation resource, used by Matrix_Vector_Activate_Batch
- *
- * \tparam     N     Number of MAC to be performed (equals to SIMD in mvau)
- * \tparam     T     Accumulator datatype
- * \tparam     TC    First operand datatype (weights)
- * \tparam     TD    Second operand datatype (input)
- * \tparam     R     Datatype for the resource used for FPGA implementation of the MAC  - safely deducible from the paramaters
- * 
- * \param      a     Initialization value of the accumulation
- * \param      c     First operand (array of weights)
- * \param      d     Second operand (array of input activation)
- * \param      r     Resource type for the hardware implementation of the MAC block
- * \param      mmv   MMV value to address accumulator and activation
- *
- * \return     Result of the MAC operation
- */
-template<unsigned N, typename T, typename TC, typename TD, typename R>
-T mac(T const &a, TC const &c, TD const &d, R const &r, unsigned mmv) {
-#pragma HLS inline
-  T  res = a;
-  for(unsigned  i = 0; i < N; i++) {
-#pragma HLS unroll
-    res += mul(c[i], d(i,mmv), r);
-  }
-  return  res;
-}
-
-/**
- * \brief      MAC with selectable implementation resource, used by Matrix_Vector_Activate_Batch
- *
- * \tparam     N     Number of MAC to be performed (equals to SIMD in mvau)
- * \tparam     T     Accumulator datatype
- * \tparam     TC    First operand datatype (weights)
- * \tparam     TD    Second operand datatype (input)
- * \tparam     R     Datatype for the resource used for FPGA implementation of the MAC  - safely deducible from the paramaters
- * 
- * \param      a     Initialization value of the accumulation
- * \param      c     First operand (array of weights)
- * \param      d     Second operand (array of input activation)
- * \param      r     Resource type for the hardware implementation of the MAC block
- *
- * \return     Result of the MAC operation
- */
-template<unsigned N, typename T, typename TC, typename TD, typename R>
-T mac(T const &a, TC const &c, TD const &d, R const &r) {
-#pragma HLS inline
-  T  res = a;
-  for(unsigned  i = 0; i < N; i++) {
-#pragma HLS unroll
-    res += mul(c[i], d[i], r);
-  }
-  return  res;
-}
-template<unsigned N, typename T, typename TC, typename TD>
-inline T mac(T const &a, TC const &c, TD const &d) {
-#pragma HLS inline
-  return  mac<N>(a, c, d, ap_resource_dflt());
-}
-
-#endif
diff --git a/finn-hlslib/maxpool.h b/finn-hlslib/maxpool.h
deleted file mode 100755
index 37f862d38d0330fd34f6fd1de9f2796e1091aee4..0000000000000000000000000000000000000000
--- a/finn-hlslib/maxpool.h
+++ /dev/null
@@ -1,387 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
- 
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *           Thomas B. Preusser <thomas.preusser@utexas.edu>
- *             Marie-Curie Fellow, Xilinx Ireland, Grant Agreement No. 751339
- *           Christoph Doehring <cdoehrin@xilinx.com>
- *
- *
- *  Library of templated HLS functions for BNN deployment. 
- *  This file implement the BNN maxpool layer.
- *
- ******************************************************************************/
-
-#ifndef MAXPOOL_H
-#define MAXPOOL_H
- 
-#include <limits>
- 
-/**
- * \brief   Max Pool implementation for Binarized values 
- *
- * \tparam     ImgDim       Width and Heigth of the Input Feature Map (assumed square)
- * \tparam     PoolDim      Dimension of the Max Pool kernel (assumed square)
- * \tparam     NumChannels  Number of Input Feature Maps
- *
- * \param      in           Input stream
- * \param      out          Output stream
- *
- */
-template<unsigned int ImgDim, unsigned int PoolDim, unsigned int NumChannels>
-void StreamingMaxPool(stream<ap_uint<NumChannels> > & in,
-		stream<ap_uint<NumChannels> > & out) {
-  CASSERT_DATAFLOW(ImgDim % PoolDim == 0);
-  // need buffer space for a single maxpooled row of the image
-  ap_uint<NumChannels> buf[ImgDim / PoolDim];
-  for(unsigned int i = 0; i < ImgDim / PoolDim; i++) {
-#pragma HLS UNROLL
-    buf[i] = 0;
-  }
-
-  for (unsigned int yp = 0; yp < ImgDim / PoolDim; yp++) {
-    for (unsigned int ky = 0; ky < PoolDim; ky++) {
-      for (unsigned int xp = 0; xp < ImgDim / PoolDim; xp++) {
-#pragma HLS PIPELINE II=1
-        ap_uint<NumChannels> acc = 0;
-        for (unsigned int kx = 0; kx < PoolDim; kx++) {
-          acc = acc | in.read();
-        }
-        // pool with old value in row buffer
-        buf[xp] |= acc;
-      }
-    }
-	for (unsigned int outpix = 0; outpix < ImgDim / PoolDim; outpix++) {
-#pragma HLS PIPELINE II=1
-      out.write(buf[outpix]);
-      // get buffer ready for next use
-      buf[outpix] = 0;
-    }
-  }
-}
-
-/**
- * \brief   Max Pool implementation for Binarized values 
- *
- * \tparam ImgDim       Width and Heigth of the Input Feature Map (assumed square)
- * \tparam PoolDim      Dimension of the Max Pool kernel (assumed square)
- * \tparam NumChannels  Number of Input Feature Maps
- *
- * \param in            Input stream
- * \param out           Output stream
- * \param numReps       Number of time the function has to be repeatedly executed (e.g. number of images)
- *
- */
-template<unsigned int ImgDim, unsigned int PoolDim, unsigned int NumChannels>
-void StreamingMaxPool_Batch(stream<ap_uint<NumChannels> > & in,
-		stream<ap_uint<NumChannels> > & out, unsigned int numReps) {
-  for (unsigned int rep = 0; rep < numReps; rep++) {
-    StreamingMaxPool<ImgDim, PoolDim, NumChannels>(in, out);
-  }
-}
-
-
-/**
- * \brief   Max Pool implementation for Binarized values 
- *
- * \tparam ImgDim       Width and Heigth of the Input Feature Map (assumed square)
- * \tparam PoolDim      Dimension of the Max Pool kernel (assumed square)
- * \tparam NumChannels  Number of Input Feature Maps
- * \tparam ActType      DataType of the input activation (as used in the comparison)
- * \tparam min_value    Minimum value possible with the given ActType, used to initialize the value before the comparison
- * \tparam StreamW      Width of the input and output stream
- * 
- * \param in            Input stream
- * \param out           Output stream
- *
- */
-template<unsigned int ImgDim, unsigned int PoolDim, unsigned int NumChannels, typename ActType, int min_value, 
-		int StreamW 
-		>
-void StreamingMaxPool_Precision(stream<ap_uint<StreamW> > & in,
-		stream<ap_uint<StreamW> > & out) {
-  CASSERT_DATAFLOW(ImgDim % PoolDim == 0);
-  // need buffer space for a single maxpooled row of the image
-  ActType buf[ImgDim / PoolDim][NumChannels];
-#pragma HLS ARRAY_PARTITION variable=buf complete dim=2
-  for(unsigned int i = 0; i < ImgDim / PoolDim; i++) {
-    for(unsigned int ch = 0; ch<NumChannels; ch++){
-#pragma HLS UNROLL
-      buf[i][ch] = min_value; //std::numeric_limits<ActType>::min();
-    }
-  }
-  ap_uint<StreamW> inputData,outputData;
-  for (unsigned int yp = 0; yp < ImgDim / PoolDim; yp++) {
-    for (unsigned int ky = 0; ky < PoolDim; ky++) {
-      for (unsigned int xp = 0; xp < ImgDim / PoolDim; xp++) {
-        // Change to comparator	
-        for (unsigned int kx = 0; kx < PoolDim; kx++) {
-#pragma HLS PIPELINE II=1
-          inputData = in.read();
-          for(unsigned int ch = 0; ch<NumChannels; ch++){
-#pragma HLS UNROLL						
-            unsigned int lowBit = ch * ActType::width;
-            unsigned int highBit = (ch+1) * ActType::width -1;
-            ActType channeldata = inputData(highBit, lowBit);					
-            ActType oldMax = buf[xp][ch];				
-            if(channeldata > oldMax){
-              buf[xp][ch] = channeldata;
-            }
-          }
-        }
-      }
-    }
-    for (unsigned int outpix = 0; outpix < ImgDim / PoolDim; outpix++) {
-      for(unsigned int ch = 0; ch < NumChannels; ch++){
-#pragma HLS UNROLL
-        unsigned int lowBit = ch * ActType::width;
-        unsigned int highBit = (ch+1) * ActType::width -1;	
-        outputData(highBit, lowBit) = buf[outpix][ch];
-        // get buffer ready for next use
-        buf[outpix][ch] = min_value;
-      }
-      out.write(outputData);
-    }
-  }
-}
-/**
- * \brief   Max Pool implementation for Binarized values 
- *
- * \tparam ImgDim       Width and Heigth of the Input Feature Map (assumed square)
- * \tparam PoolDim      Dimension of the Max Pool kernel (assumed square)
- * \tparam NumChannels  Number of Input Feature Maps
- * \tparam ActType      DataType of the input activation (as used in the comparison)
- * \tparam min_value    Minimum value possible with the given ActType, used to initialize the value before the comparison
- * \tparam StreamW      Width of the input and output stream
- * 
- * \param in            Input stream
- * \param out           Output stream
- * \param numReps       Number of time the function has to be repeatedly executed (e.g. number of images)
- *
- */
-template<unsigned int ImgDim, unsigned int PoolDim, unsigned int NumChannels, typename ActType, int min_value, 
-        int InStreamW, int OutStreamW  // safely deducible (stream width must be int though!)
-		>
-void StreamingMaxPool_Precision_Batch(stream<ap_uint<InStreamW> > & in,
-		stream<ap_uint<OutStreamW> > & out, unsigned int numReps) {
-#pragma HLS INLINE
-  unsigned const  InpPerImage = ImgDim*ImgDim*NumChannels*ActType::width/InStreamW ;
-  unsigned const  OutPerImage = ImgDim*ImgDim / (PoolDim*PoolDim);
-  WidthAdjustedInputStream <InStreamW, NumChannels*ActType::width, InpPerImage>  wa_in (in,  numReps);
-  WidthAdjustedOutputStream<NumChannels*ActType::width,  OutStreamW, OutPerImage>  wa_out(out, numReps);
-  for (unsigned int rep = 0; rep < numReps; rep++) {
-    StreamingMaxPool_Precision<ImgDim, PoolDim, NumChannels, ActType, min_value>
-      (static_cast<hls::stream<ap_uint<NumChannels*ActType::width>>&>(wa_in), 
-      static_cast<hls::stream<ap_uint<NumChannels*ActType::width>>&>(wa_out));
-  }
-}
-
-
-
-/**
- * \brief   ReLU for fixed-point or integer; can accept a bias at input, which it removes
- *
- * \tparam ImgDim       Width and Heigth of the Input Feature Map (assumed square)
- * \tparam NumChannels  Number of Input Feature Maps
- * \tparam ActType      DataType of the input activation (as used in the comparison)
- * \tparam PECount      PE parallelism to apply ReLU
- * \tparam offset       Offset to be subtracted before applying ReLU
- * 
- * \param in            Input stream
- * \param out           Output stream
- * \param numReps       Number of time the function has to be repeatedly executed (e.g. number of images)
- *
- */
-template<
-		unsigned int ImgDim,			
-    unsigned int NumChannels,  
-		typename ActType,			
-		unsigned int PECount,
-    int offset = 0>
-void ReLU_Batch(stream<ap_uint<PECount * ActType::width> > & in,
-		stream<ap_uint<PECount * ActType::width> > & out, const unsigned int numReps) {
-
-	ap_uint<PECount * ActType::width> thin;
-	ap_uint<PECount * ActType::width> thout;
-	
-	//call to thresholding library function
-	for(unsigned int reps=0; reps<numReps; reps++){
-		for(unsigned int pixel=0; pixel<ImgDim*ImgDim; pixel++){
-      for(unsigned int fold=0; fold<NumChannels/PECount; fold++){
-#pragma HLS PIPELINE II=1
-        thin = in.read();
-        for(unsigned int pe=0; pe<PECount; pe++){
-        #pragma HLS UNROLL
-          // Threshold and assign to right bits of output buffers
-          unsigned int lowBit = pe * ActType::width;
-          unsigned int highBit = (pe+1) * ActType::width - 1;
-          ActType val = thin(highBit,lowBit);
-          ActType result;
-          if(val < offset)
-                  result = 0;
-          else
-                  result = val - offset;
-          thout(highBit, lowBit) = result;
-        }    
-        out.write(thout);
-      }
-		}
-	}
-}
-
-/**
- * \brief   Accumulate-pool - like average pooling over the whole frame, but without the dividion at end
- *
- * \tparam ImgDim       Width and Heigth of the Input Feature Map (assumed square)
- * \tparam NumChannels  Number of Input Feature Maps
- * \tparam ActType      DataType of the input activation (as used in the comparison)
- * \tparam PECount      PE parallelism to apply ReLU
- * \tparam AccType      Datatype of the accumulation (e.g. output)
- * 
- * \param in            Input stream
- * \param out           Output stream
- * \param numReps       Number of time the function has to be repeatedly executed (e.g. number of images)
- *
- */
-template<
-    unsigned int ImgDim,     
-		unsigned int NumChannels,		
-		typename ActType,			
-		unsigned int PECount,      
-		typename AccType>
-void AccPool_Batch(stream<ap_uint<PECount * ActType::width> > & in,
-		stream<ap_uint<PECount * AccType::width> > & out, const unsigned int numReps) {
-	ap_uint<PECount * ActType::width> thin;
-  ap_uint<PECount * AccType::width> accumulators[NumChannels/PECount];
-#pragma HLS RESOURCE variable=accumulators core=RAM_2P_LUTRAM
-        
-	//call to thresholding library function
-	for(unsigned int reps=0; reps<numReps; reps++){
-		for(unsigned int pixel=0; pixel<ImgDim*ImgDim; pixel++){
-      for(unsigned int fold=0; fold<NumChannels/PECount; fold++){
-#pragma HLS PIPELINE II=1
-        thin = in.read();
-        ap_uint<PECount * AccType::width> accbank = accumulators[fold];
-        for(unsigned int pe=0; pe<PECount; pe++){
-        #pragma HLS UNROLL
-          // Threshold and assign to right bits of output buffers
-          unsigned int lowBit = pe * ActType::width;
-          unsigned int highBit = (pe+1) * ActType::width - 1;
-          ActType val = thin((pe+1) * ActType::width - 1,pe * ActType::width);
-          AccType acc = accbank((pe+1) * AccType::width - 1,pe * AccType::width);
-          AccType result;
-          if(pixel == 0)
-                  result = val;
-          else
-                  result = val+acc;
-          accbank((pe+1) * AccType::width - 1,pe * AccType::width) = result;
-        }
-        accumulators[fold] = accbank;     
-      }
-		}
-    for (unsigned int fold = 0; fold < NumChannels / PECount; fold++)
-    {
-      out.write(accumulators[fold]);
-    }
-	}
-}
-
-
-
-/**
- * \brief   LabelSelect_Batch - returns labels of top-5 in stream
- *
- * \tparam NumClasses   Number of classes of the dataset
- * \tparam PECount      Number of inputs to be processed in parallel
- * \tparam NumTop       Number of top classes to be selected in output
- * \tparam In_T         Datatype of the input
- * \tparam Out_T        Datatype of the output
- * 
- * \param in            Input stream
- * \param out           Output stream
- * \param numReps       Number of times the function has to be repeatedly executed (e.g. number of images)
- *
- */
-template<
-		// tensor size parameters
-		unsigned int NumClasses,
-		unsigned int PECount,
-    unsigned int NumTop,
-		typename In_T,
-    typename Out_T>
-void LabelSelect_Batch(stream<ap_uint<PECount * In_T::width> > & in,
-		stream<ap_uint<32> > & out, const unsigned int numReps) {
-	ap_uint<PECount * In_T::width> inval;
-  Out_T toplabels[NumTop];
-#pragma HLS ARRAY_PARTITION variable=toplabels complete dim=1
-  In_T topval[NumTop];
-#pragma HLS ARRAY_PARTITION variable=topval complete dim=1
-for(unsigned int reps=0; reps<numReps; reps++){
-  unsigned int idx = 0;
-  for(unsigned int topx=0; topx<NumTop; topx++){
-  #pragma HLS UNROLL
-          topval[topx] = 1<<31;
-    }
-  for(unsigned int block=0; block<(NumClasses/PECount); block++){
-  #pragma HLS PIPELINE II=1
-    inval = in.read();
-    for(unsigned int elem=0; elem<PECount; elem++){
-      unsigned int lowBit = elem * In_T::width;
-      unsigned int highBit = (elem+1) * In_T::width - 1;
-      In_T val = inval(highBit,lowBit);
-      for(unsigned int topx=0; topx<NumTop; topx++){
-      #pragma HLS UNROLL
-        if(val > topval[topx]){
-          if(topx==(NumTop-1)){
-            topval[topx] = val;
-            toplabels[topx] = idx;
-          } else if(val > topval[topx+1]){
-            topval[topx] = topval[topx+1];
-            toplabels[topx] = toplabels[topx+1];
-          } else {
-            topval[topx] = val;
-            toplabels[topx] = idx;
-          }
-        }            
-      }
-      idx++;
-    }
-  }
-    for(unsigned int topx = 0; topx < NumTop; topx++){
-            out.write(toplabels[NumTop - topx - 1]);
-    }
-	}
-}
-
-#endif
diff --git a/finn-hlslib/mmv.hpp b/finn-hlslib/mmv.hpp
deleted file mode 100755
index 89a00afcdbc4eb4ba1f2a2a5b133f908ad79d35d..0000000000000000000000000000000000000000
--- a/finn-hlslib/mmv.hpp
+++ /dev/null
@@ -1,60 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
- 
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *
- *  \file 
- *
- *  This file described the MultiChanData class used for MMV, whenever we exploit 
- *  the pixel level of parallelism.
- *
- ******************************************************************************/
-
-#ifndef MMVCLASS_H
-#define MMVCLASS_H
-
-#include "hls_stream.h"
-
-template <unsigned int NumChannels, unsigned int DataWidth>
-class MultiChanData {
-public: ap_uint<DataWidth> data[NumChannels];
-    auto operator[](unsigned const  mm) -> decltype(data[mm]) {
-#pragma HLS inline
-      return  data[mm];
-    }
-	
-};
-
-
-#endif
\ No newline at end of file
diff --git a/finn-hlslib/mvau.hpp b/finn-hlslib/mvau.hpp
deleted file mode 100755
index 3c63abe643e3720b4e35c3437824141f74e3e3b4..0000000000000000000000000000000000000000
--- a/finn-hlslib/mvau.hpp
+++ /dev/null
@@ -1,183 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- *******************************************************************************/
-
-/*******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *           Thomas B. Preusser <thomas.preusser@utexas.edu>
- *             Marie-Curie Fellow, Xilinx Ireland, Grant Agreement No. 751339
- *           Christoph Doehring <cdoehrin@xilinx.com>
- *
- *  \file mvau.hpp
- *
- *  This file lists a templated funtion used to implement  
- *  Matrix-Vector-Activation Unit
- *
- *  This project has received funding from the European Union's Framework
- *  Programme for Research and Innovation Horizon 2020 (2014-2020) under
- *  the Marie Skłodowska-Curie Grant Agreement No. 751339.
- *
- *******************************************************************************/
-
-#ifndef MVAU_HPP
-#define MVAU_HPP
-
-#include "hls_stream.h"
-
-#include "mac.hpp"
-#include "interpret.hpp"
-
-/**
- * \brief Matrix vector activate function
- *
- * The function performs the multiplication between a weigth matrix and the input activation vector,
- * accumulating the results and then applying an activation function on the accumulated result.
- *
- * 
- * \tparam MatrixW    Width of the input matrix
- * \tparam MatrixH    Heigth of the input matrix
- * \tparam SIMD       Number of input columns computed in parallel
- * \tparam PE         Number of output rows computed in parallel
- * \tparam MMV        Number of output pixels computed in parallel
- * \tparam TSrcI      DataType of the input activation (as used in the MAC)
- * \tparam TDstI      DataType of the output activation (as generated by the activation)
- * \tparam TWeightI   DataType of the weights (as used in the MAC)
- * \tparam TI         DataType of the input stream - safely deducible from the paramaters
- * \tparam TO         DataType of the output stream - safely deducible from the paramaters
- * \tparam TW         DataType of the weights matrix - safely deducible from the paramaters
- * \tparam TA         DataType of the activation class (e.g. thresholds) - safely deducible from the paramaters
- * \tparam R          Datatype for the resource used for FPGA implementation of the MAC  - safely deducible from the paramaters
- *
- * \param in          Input stream
- * \param out         Output stream
- * \param weights     Weights matrix (currently supports BinaryWeights or FixedPointWeights)
- * \param activation  Activation class
- * \param reps        Number of time the function has to be repeatedly executed (e.g. number of images)
- * \param r           Resource type for the hardware implementation of the MAC block
- */
-template<
-  unsigned MatrixW, unsigned MatrixH, unsigned SIMD, unsigned PE, unsigned MMV, 
-  typename TSrcI = Identity, typename TDstI = Identity, typename TWeightI = Identity,
-  typename TI, typename TO, typename TW, typename TA, typename R
->
-void Matrix_Vector_Activate_Batch(hls::stream<TI> &in,
-				  hls::stream<TO> &out,
-				  TW  const &weights,
-				  TA  const &activation,
-				  int const  reps,
-				  R const &r) {
-
-  // how many different rows each neuron will compute
-  // alternatively: number of vertical matrix chunks
-  unsigned const  NF = MatrixH / PE;
-
-  // how many synapse groups each row is split into
-  // alternatively: number of horizontal matrix chunks
-  unsigned const  SF = MatrixW / SIMD;
-
-  // input vector buffers
-  TI  inputBuf[SF];
-#pragma HLS ARRAY_PARTITION variable=inputBuf complete dim=0
-
-
-  decltype(activation.init(0,0))  accu[MMV][PE];
-#pragma HLS ARRAY_PARTITION variable=accu complete dim=0
-
-  unsigned  nf   = 0;
-  unsigned  sf   = 0;
-  unsigned  tile = 0; // invariant: tile = nf*SF + sf
-
-  // everything merged into a common iteration space (one "big" loop instead
-  // of smaller nested loops) to get the pipelinening the way we want
-  unsigned const TOTAL_FOLD = NF * SF;
-  for(unsigned  i = 0; i < reps * TOTAL_FOLD; i++) {
-#pragma HLS PIPELINE II=1
-    TI  inElem;
-    if(nf == 0) {
-      // read input from stream
-      inElem = in.read();
-      // store in appropriate buffer for reuse
-      inputBuf[sf] = inElem;
-//#pragma HLS ARRAY_PARTITION variable=inputBuf[sf].data complete dim=1
-// TODO: Fix this and including in contructor of inputBuf
-    }
-    else {
-      // reuse buffered input
-      inElem = inputBuf[sf];
-    }
-
-    // Threshold Initialisation
-    if(sf == 0) {
-      for(unsigned  pe = 0; pe < PE; pe++) {
-        for(unsigned mmv = 0; mmv < MMV; mmv++) {
-#pragma HLS UNROLL
-          accu[mmv][pe] = activation.init(nf, pe);
-        }
-      }
-    }
-
-    // compute matrix-vector product for each processing element
-    auto const &w = weights.weights(tile);
-    for(unsigned  pe = 0; pe < PE; pe++) {
-#pragma HLS UNROLL
-      auto const  wgt = TWeightI()(w[pe]);
-      for (unsigned mmv = 0; mmv < MMV; mmv++){
-        auto const  act = TSrcI()(inElem, mmv);
-        accu[mmv][pe] = mac<SIMD>(accu[mmv][pe], wgt, act, r, mmv);
-      }
-    }
-
-    // keep track of which folded synapse/neuron we are processing
-    ++tile;
-    if(++sf == SF) {
-      // produce output and clear accumulators
-      auto  outElem = TDstI().template operator()<TO>();
-      for (unsigned  pe = 0; pe < PE; pe++) {
-#pragma HLS UNROLL
-        for (unsigned mmv = 0; mmv < MMV; mmv++){
-#pragma HLS UNROLL
-          outElem(pe,mmv) = activation.activate(nf, pe, accu[mmv][pe]);
-        }
-      }
-
-      out.write(outElem);
-
-      // next folded neuron or image
-      sf = 0;
-      if(++nf == NF) {
-	    nf   = 0;
-	    tile = 0;
-      }
-    }
-  }
-}
-#endif
diff --git a/finn-hlslib/requirements.txt b/finn-hlslib/requirements.txt
deleted file mode 100755
index 751f77f4bf56c59e81053f7442a2352dcf06b4ee..0000000000000000000000000000000000000000
--- a/finn-hlslib/requirements.txt
+++ /dev/null
@@ -1,59 +0,0 @@
-#   Copyright (c) 2019, Xilinx, Inc.
-#   All rights reserved.
-# 
-#   Redistribution and use in source and binary forms, with or without 
-#   modification, are permitted provided that the following conditions are met:
-#
-#   1.  Redistributions of source code must retain the above copyright notice, 
-#       this list of conditions and the following disclaimer.
-#
-#   2.  Redistributions in binary form must reproduce the above copyright 
-#       notice, this list of conditions and the following disclaimer in the 
-#       documentation and/or other materials provided with the distribution.
-#
-#   3.  Neither the name of the copyright holder nor the names of its 
-#       contributors may be used to endorse or promote products derived from 
-#       this software without specific prior written permission.
-#
-#   THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-#   AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, 
-#   THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR 
-#   PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR 
-#   CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, 
-#   EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, 
-#   PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-#   OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, 
-#   WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR 
-#   OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF 
-#   ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-
-alabaster==0.7.12
-Babel==2.7.0
-breathe==4.13.0.post0
-certifi==2019.3.9
-chardet==3.0.4
-commonmark==0.9.0
-docutils==0.14
-future==0.17.1
-idna==2.8
-imagesize==1.1.0
-Jinja2==2.10.1
-MarkupSafe==1.1.1
-packaging==19.0
-Pygments==2.4.1
-pyparsing==2.4.0
-pytz==2019.1
-recommonmark==0.5.0
-requests==2.22.0
-six==1.12.0
-snowballstemmer==1.2.1
-Sphinx==2.0.1
-sphinx-rtd-theme==0.4.3
-sphinxcontrib-applehelp==1.0.1
-sphinxcontrib-devhelp==1.0.1
-sphinxcontrib-htmlhelp==1.0.2
-sphinxcontrib-jsmath==1.0.1
-sphinxcontrib-qthelp==1.0.2
-sphinxcontrib-serializinghtml==1.1.3
-urllib3==1.25.3
-numpy==1.16.4
diff --git a/finn-hlslib/slidingwindow.h b/finn-hlslib/slidingwindow.h
deleted file mode 100755
index 77496815c143cac85c9e3ae2199660c1eac2c31b..0000000000000000000000000000000000000000
--- a/finn-hlslib/slidingwindow.h
+++ /dev/null
@@ -1,331 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- *******************************************************************************/
-
- /******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *           Thomas B. Preusser <thomas.preusser@utexas.edu>
- *             Marie-Curie Fellow, Xilinx Ireland, Grant Agreement No. 751339
- *           Christoph Doehring <cdoehrin@xilinx.com>
- *
- *  \file slidingwindow.h
- *
- *  Library of templated HLS functions for BNN deployment. 
- *  This file lists a set of convenience funtions used to implement  
- *  Sliding window generator for convolutions
- *
- *****************************************************************************/
-
-#ifndef SLIDINGWINDOW_H
-#define SLIDINGWINDOW_H
- 
-
-#define MAX(x, y) (((x) > (y)) ? (x) : (y)) /* \brief Maximum value between x and y*/
-#define MIN(x, y) (((x) > (y)) ? (y) : (x)) /* !< \brief Minimum value between x and y*/
-
-
-/**
- * \brief Sliding Window unit that produces output vectors for feeding
- * a Matrix_Vector_Activate_Batch, implementing the im2col algorithm. To be used only if 
- * ConvKernelDim%Stride = 0
- *
- * \tparam ConvKernelDim    Dimension of the convolutional kernel (assumed square)
- * \tparam IFMChannels      Number of Input Feature Maps
- * \tparam Input_precision  Number bits per pixel
- * \tparam IFMDim           Width and Heigth of the Input Feature Map (assumed square)
- * \tparam OFMDim           Width and Heigth of the Output Feature Map (assumed square)
- * \tparam SIMD             Number of input columns computed in parallel
- * \tparam Stride           Stride of the convolutional kernel
- * 
- * \param in                Input stream
- * \param out               Output stream
- * \param numReps           Number of time the function has to be repeatedly executed (e.g. number of images)
- */
-template<unsigned int ConvKernelDim, 
-		 unsigned int IFMChannels,
-		 unsigned int Input_precision,		
-		 unsigned int IFMDim, 
-		 unsigned int OFMDim,
-		 unsigned int SIMD,
-		 unsigned int Stride = 1>  
-void ConvolutionInputGenerator(
-		stream<ap_uint<SIMD*Input_precision> > & in,
-		stream<ap_uint<SIMD*Input_precision> > & out,
-		const unsigned int numReps = 1) {
-  CASSERT_DATAFLOW(IFMChannels % SIMD == 0);
-  CASSERT_DATAFLOW(ConvKernelDim % Stride == 0);
-  const unsigned int multiplying_factor = IFMChannels/SIMD;
-  const unsigned int number_blocks = ConvKernelDim/Stride + 1 ;
-  ap_uint<SIMD*Input_precision> inputBuf[number_blocks][Stride * IFMDim * multiplying_factor];
-
-#pragma HLS ARRAY_PARTITION variable=inputBuf complete dim=1
-#pragma HLS RESOURCE variable inputBuf core=RAM_2P
-  const unsigned int cycles_write_block = (OFMDim * ConvKernelDim * ConvKernelDim * multiplying_factor);
-  const unsigned int cycles_read_block = Stride * IFMDim * multiplying_factor;
-  const unsigned int max_cycles = MAX(cycles_write_block,cycles_read_block);
-  const unsigned int baseIter = IFMDim * ConvKernelDim * multiplying_factor// Initial buffer
-			                  + OFMDim * MAX(cycles_write_block,cycles_read_block);
-  unsigned int counter_internal_block = 0;
-  unsigned int current_block_write = 0;
-  unsigned int next_block_write = 0;	
-  unsigned int current_line = 0;
-  unsigned int read_block = 0; 
-  unsigned int inp = 0, ofm_y = 0, ofm_x = 0, k_y = 0, k_x = 0, count_simd =0;
-#pragma HLS reset variable=inp
-  for (unsigned int count_image = 0; count_image < numReps; count_image++) {
-    for (unsigned int i = 0; i < baseIter; i++) {
-#pragma HLS PIPELINE II=1
-      if (inp < IFMDim * ConvKernelDim*multiplying_factor) {// Initial buffer of ConvKernelDim lines	
-        ap_uint<SIMD*Input_precision> inElem;
-        inElem = in.read();
-        inputBuf[current_block_write][current_line] = inElem;
-        current_line++;
-        inp++;
-        if (current_line == Stride * IFMDim * multiplying_factor ) {
-          current_line = 0;
-          current_block_write++;
-          if (current_block_write == number_blocks) {
-            current_block_write=0;
-          }
-          read_block++;
-          counter_internal_block = 0;
-        }
-      } else {
-        if (counter_internal_block < cycles_write_block-1) { // We are writing output, MMV IFMChan per cycle
-          unsigned int current_block_read = (current_block_write + 1 + k_y / Stride);
-          if (current_block_read >= number_blocks) {
-            current_block_read-= number_blocks;
-		  }
-          unsigned int current_line_in_block = ((k_y%Stride) * IFMDim + ofm_x*Stride + k_x)*multiplying_factor + count_simd;
-          ap_uint<SIMD*Input_precision> outElem = inputBuf[current_block_read][(current_line_in_block)];
-          out.write(outElem);
-          count_simd++;
-          if (count_simd == multiplying_factor) {
-            count_simd=0;					
-            k_x++;
-            if (k_x == ConvKernelDim) {
-              k_x = 0;
-              k_y++;
-              if (k_y == ConvKernelDim) {
-                k_y = 0;
-                ofm_x ++;
-                if (ofm_x == OFMDim) {
-                  ofm_x = 0;
-                  ofm_y++;
-                  if (ofm_y == OFMDim) {
-                    ofm_y = 0;
-                    inp = 0;
-                  }
-                }
-              }
-            }
-          }
-        }
-        if ((counter_internal_block < cycles_read_block-1) && (read_block<IFMDim/Stride)) { // In parallel we write in the buffer, in the current block write if we still need to
-          ap_uint<SIMD*Input_precision> inElem;
-          inElem = in.read();
-          inputBuf[current_block_write][current_line] = inElem;
-#pragma AP dependence variable=inputBuf intra false
-#pragma AP dependence variable=inputBuf inter false
-          current_line++;
-          if (current_line == Stride * IFMDim * multiplying_factor) {// We read the whole block, we change the next block in which we want to we
-            // We filled up a block, let's not read until
-            current_line = 0;
-            read_block++;
-            current_block_write++;
-            if (current_block_write == number_blocks) {
-              current_block_write=0;
-			}
-#pragma AP dependence variable=current_block_write intra false	
-          }
-        }
-        counter_internal_block++; // = (counter_internal_block +1) % max_cycles;
-        if (counter_internal_block == (max_cycles-1)) {
-          counter_internal_block = 0;
-        }
-      }
-    } // End base_iter
-	read_block = 0;
-  } // End count_image
-} // End generator
-
-/**
- * \brief Sliding Window unit that produces output vectors for feeding
- * a Matrix_Vector_Activate_Batch, implementing the im2col algorithm with support to multiple output pixels
- *
- *
- * \tparam ConvKernelDim    Dimension of the convolutional kernel (assumed square)
- * \tparam IFMChannels      Number of Input Feature Maps
- * \tparam Input_precision  Number bits per pixel
- * \tparam IFMDim           Width and Heigth of the Input Feature Map (assumed square)
- * \tparam OFMDim           Width and Heigth of the Output Feature Map (assumed square)
- * \tparam SIMD             Number of input columns computed in parallel
- * \tparam Stride           Stride of the convolutional kernel
- * \tparam MMV              Number of pixels that have to be produced in parallel
- * 
- * \param in                Input stream
- * \param out               Output stream
- * \param numReps           Number of time the function has to be repeatedly executed (e.g. number of images)
- */
-template<unsigned int ConvKernelDim, 
-		unsigned int IFMChannels,
-		unsigned int Input_precision,
-		unsigned int IFMDim, 
-		unsigned int OFMDim,
-		unsigned int SIMD,
-		unsigned int Stride = 1, 
-		unsigned int MMV=1 >  
-void ConvolutionInputGenerator_MMV(
-		stream<ap_uint<SIMD*Input_precision> > & in,
-		stream<MultiChanData<MMV, SIMD*Input_precision> > & out,
-		const unsigned int numReps = 1) {
-  	CASSERT_DATAFLOW(IFMChannels % SIMD == 0);
-  	CASSERT_DATAFLOW(OFMDim % MMV == 0);
-	CASSERT_DATAFLOW(ConvKernelDim % Stride == 0);
-	CASSERT_DATAFLOW(MMV <= OFMDim);
-	constexpr unsigned int multiplying_factor = IFMChannels/SIMD;
-	constexpr unsigned int number_blocks = ConvKernelDim/Stride + 1 ;
-  ap_uint<SIMD*Input_precision> inputBuf[MMV][number_blocks][Stride * IFMDim * multiplying_factor];
-#pragma HLS DEPENDENCE variable=inputBuf inter false
-#pragma HLS DEPENDENCE variable=inputBuf intra false
-#pragma HLS ARRAY_PARTITION variable=inputBuf complete dim=1
-#pragma HLS ARRAY_PARTITION variable=inputBuf complete dim=2
-#pragma HLS RESOURCE variable inputBuf core=RAM_2P
-	constexpr unsigned int cycles_write_block = (OFMDim * ConvKernelDim * ConvKernelDim * multiplying_factor)/MMV;
-	constexpr unsigned int cycles_read_block = Stride * IFMDim * multiplying_factor;
-	constexpr unsigned int max_cycles = MAX(cycles_write_block,cycles_read_block);
-	const unsigned int baseIter = IFMDim * ConvKernelDim * multiplying_factor// Initial buffer
-			+ OFMDim * MAX(cycles_write_block,cycles_read_block);
-	unsigned int counter_internal_block = 0;
-	unsigned int current_block_write = 0;
-	unsigned int next_block_write = 0;	
-	unsigned int current_line = 0;
-	unsigned int read_block = 0; 
-	unsigned int inp = 0, ofm_y = 0, ofm_x = 0, k_y = 0, k_x = 0, count_simd =0;
-#pragma HLS reset variable=inp
-	for (unsigned int count_image = 0; count_image < numReps; count_image++) {
-		for (unsigned int i = 0; i < baseIter; i++) {
-	#pragma HLS PIPELINE II=1
-			if (inp < IFMDim * ConvKernelDim*multiplying_factor) // Initial buffer of ConvKernelDim lines
-				{
-				ap_uint<SIMD*Input_precision> inElem;
-				inElem = in.read();
-				for(unsigned int v = 0; v < MMV; v++)
-					{
-#pragma HLS UNROLL
-					inputBuf[v][current_block_write][current_line] = inElem;
-					}
-				current_line++;
-				inp++;
-				if (current_line == Stride * IFMDim * multiplying_factor )
-					{
-					current_line = 0;
-					current_block_write++;
-					if (current_block_write == number_blocks)
-						current_block_write=0;
-					read_block++;
-					counter_internal_block = 0;
-					}
-				}
-			else
-				{
-				if (counter_internal_block < cycles_write_block-1) // We are writing output, MMV IFMChan per cycle
-				{
-					unsigned int current_block_read = (current_block_write + 1 + k_y / Stride);
-					if (current_block_read >= number_blocks)
-						current_block_read-= number_blocks;
-					unsigned int current_line_in_block = ((k_y%Stride) * IFMDim + ofm_x*Stride + k_x)*multiplying_factor + count_simd;
-					MultiChanData<MMV, SIMD*Input_precision> outElem;
-					// parallel read from all input buffers
-					for(unsigned int v = 0; v < MMV; v++) {
-#pragma HLS UNROLL
-						// each buffer's read addr is offset by its buffer index
-						ap_uint<SIMD*Input_precision> temp_value = inputBuf[v][current_block_read][(current_line_in_block + v*Stride*multiplying_factor)];
-						outElem.data[v] = temp_value;
-					}
-					out.write(outElem);
-					count_simd++;
-					if (count_simd == multiplying_factor) {
-						count_simd=0;					
-						k_x++;
-						if (k_x == ConvKernelDim) {
-							k_x = 0;
-							k_y++;
-							if (k_y == ConvKernelDim) {
-								k_y = 0;
-								ofm_x += MMV;
-								if (ofm_x == OFMDim) {
-									ofm_x = 0;
-									ofm_y++;
-									if (ofm_y == OFMDim) {
-										ofm_y = 0;
-										inp = 0;
-									}
-								}
-							}
-						}
-					}
-				}
-				if ((counter_internal_block < cycles_read_block-1) && (read_block<IFMDim/Stride)) // In parallel we write in the buffer, in the current block write if we still need to
-				{
-					ap_uint<SIMD*Input_precision> inElem;
-					inElem = in.read();
-					for(unsigned int v = 0; v < MMV; v++) {
-#pragma HLS UNROLL
-						inputBuf[v][current_block_write][current_line] = inElem;
-#pragma AP dependence variable=inputBuf intra false
-#pragma AP dependence variable=inputBuf inter false
-						}
-
-					current_line++;
-					if (current_line == Stride * IFMDim * multiplying_factor) // We read the whole block, we change the next block in which we want to we
-					{ // We filled up a block, let's not read until
-						current_line = 0;
-						read_block++;
-						current_block_write++;
-						if (current_block_write == number_blocks)
-							current_block_write=0;
-#pragma AP dependence variable=current_block_write intra false	
-					}
-				}
-				counter_internal_block++; // = (counter_internal_block +1) % max_cycles;
-				if (counter_internal_block == (max_cycles-1))
-				{
-					counter_internal_block = 0;
-				}
-			}
-		} // End base_iter
-	read_block = 0;
-	} // End count_image
-} // End generator
-
-#endif
diff --git a/finn-hlslib/streamtools.h b/finn-hlslib/streamtools.h
deleted file mode 100755
index ba2bec776133615e6bd8e362c484dfd073170629..0000000000000000000000000000000000000000
--- a/finn-hlslib/streamtools.h
+++ /dev/null
@@ -1,704 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
- 
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *           Thomas B. Preusser <thomas.preusser@utexas.edu>
- *             Marie-Curie Fellow, Xilinx Ireland, Grant Agreement No. 751339
- *           Christoph Doehring <cdoehrin@xilinx.com>
- *
- *  @file stream-tools.h
- *
- *  Library of templated HLS functions for BNN deployment. 
- *  This file lists a set of convenience funtions used to adapt stream size, 
- *  remove unnecessary streams (padding) and casting
- *
- ******************************************************************************/
-
-#ifndef STREAMTOOLS_H
-#define STREAMTOOLS_H
-
-
-/**
- * \brief   Stream limiter - limits the number of stream packets
- *
- * The block only let the first NumAllowed elements of a stream to pass through, the remainder
- * (NumTotal-NumAllowed) are consumed from input but not re-emitted from the output. 
- * Useful to remove padding 
- *
- * \tparam     DataWidth    Width, in number of bits, of the input and output stream
- * \tparam     NumAllowed   Number of words to pass through
- * \tparam     NumTotal     Total number of words (NumAllowed+NumDropped)
- *
- * \param      in           Input stream
- * \param      out          Output stream
- *
- */
-template<unsigned int DataWidth,    
-		unsigned int NumAllowed, 	
-		unsigned int NumTotal       
->
-void StreamLimiter(hls::stream<ap_uint<DataWidth> > & in,
-		hls::stream<ap_uint<DataWidth> > & out) {
-  CASSERT_DATAFLOW(NumTotal >= NumAllowed);
-  unsigned int numLeft = NumAllowed;
-  for (unsigned int i = 0; i < NumTotal; i++) {
-#pragma HLS PIPELINE II=1
-    ap_uint<DataWidth> e = in.read();
-    if (numLeft > 0) {
-      out.write(e);
-      numLeft--;
-    }
-  }
-}
-
-/**
- * \brief   Stream limiter batch - limits the number of stream packets multiple times
- *
- * The block only let the first NumAllowed elements of a stream to pass through, the remainder
- * (NumTotal-NumAllowed) are consumed from input but not re-emitted from the output. 
- * Useful to remove padding on multiple images (numReps)
- *
- * \tparam     DataWidth    Width, in number of bits, of the input and output stream
- * \tparam     NumAllowed   Number of words to pass through
- * \tparam     NumTotal     Total number of words (NumAllowed+NumDropped)
- *
- * \param      in           Input stream
- * \param      out          Output stream
- * \param      numReps      Number of times the StreamLimiter function has to be called
- *
- */
-template<unsigned int DataWidth,	
-		unsigned int NumAllowed, 	
-		unsigned int NumTotal       
->
-void StreamLimiter_Batch(hls::stream<ap_uint<DataWidth> > & in,
-		hls::stream<ap_uint<DataWidth> > & out, unsigned int numReps) {
-  for (unsigned int rep = 0; rep < numReps; rep++) {
-    StreamLimiter<DataWidth, NumAllowed, NumTotal>(in, out);
-  }
-}
-
-/**
- * \brief   Stream Padding - Padds the input with zeroes for when the sliding window is
- *          centered on border pixels
- *
- * Used to add padding to the input with zeroes in case the sliding window is
- * centered on border pixels 
- *
- * \tparam     ImgDim          Size of the input feature map
- * \tparam     KernelDim       Size of the sliding window
- * \tparam     Stride          Stride of the sliding window
- * \tparam     NumChannels     Amount of channels of the input feature map
- * \tparam     In_t            Input datatype
- * \tparam     PaddingStyle    Type of padding that will be applied
- * 
- * \param      in              Input stream
- * \param      out             Output stream
- *
- */
-template<	unsigned int ImgDim, 
-			unsigned int KernelDim, 
-			unsigned int Stride, 
-			unsigned int NumChannels,
-			typename In_t,
-      unsigned int PaddingStyle=2>
-void SameResize(stream<ap_uint<NumChannels* In_t::width> > &in, 
-		stream<ap_uint<NumChannels* In_t::width> > &out){
-
-	// Number of "same" windows over the input data
-	constexpr unsigned int SameWindows = (ImgDim) / Stride + ((ImgDim % Stride) > 0);
-	
-	// Number of elements to generate as output per dimension
-	constexpr unsigned int OutputDim = KernelDim + Stride * (SameWindows - 1);
-
-	// Padding
-	constexpr unsigned int Padding = OutputDim - ImgDim;
-
-	// Padding Up and Left
-  constexpr unsigned int PaddingUp = Padding/2 + ((PaddingStyle == 2) ? ((Padding % 2) > 0) : 0);
-  constexpr unsigned int PaddingLeft = Padding/2 + ((PaddingStyle == 2) ? ((Padding % 2) > 0) : 0);
-
-	// Padding Down and Right (might be 1 element more than up and left in case of odd padding)
-	constexpr unsigned int PaddingDown = Padding - PaddingUp;
-	constexpr unsigned int PaddingRight = Padding - PaddingLeft;
-
-	ap_uint<NumChannels* In_t::width> outData, inData;
-
-	for(unsigned int y = 0; y<OutputDim; y++){
-		for(unsigned int x=0; x < OutputDim; x++){
-#pragma HLS PIPELINE II=1				
-
-			// Padding Rows
-			if(y < PaddingUp || y >= (OutputDim - PaddingDown)){
-				outData = 0;
-			}
-			// Padding Cols
-			else if(x < PaddingLeft || x >= (OutputDim - PaddingRight)){
-				outData = 0;
-			}
-			// No Padding
-			else{
-				inData = in.read();
-				outData = inData;
-			}
-
-			out.write(outData);
-		}
-	}
-}
-
-/**
- * \brief   Stream Padding - Padds the input of multiple frames with zeroes
- *          for when the sliding window is centered on border pixels
- *
- * Used to add padding with zeroes to multiple inputs in case the sliding window is
- * centered on border pixels 
- *
- * \tparam     ImgDim          Size of the input feature map
- * \tparam     KernelDim       Size of the sliding window
- * \tparam     Stride          Stride of the sliding window
- * \tparam     NumChannels     Amount of channels of the input feature map
- * \tparam     In_t            Input datatype
- * \tparam     PaddingStyle    Type of padding that will be applied
- * 
- * \param      in              Input stream
- * \param      out             Output stream
- * \param      numReps         Amount of frames / images
- *
- */
-template<	unsigned int ImgDim, 
-			unsigned int KernelDim, 
-			unsigned int Stride, 
-			unsigned int NumChannels,
-			typename In_t,
-      unsigned int PaddingStyle=2>
-void SameResize_Batch(stream<ap_uint<NumChannels* In_t::width> > &in, 
-		stream<ap_uint<NumChannels* In_t::width> > &out, 
-		const unsigned int numReps) {
-	for (unsigned int rep = 0; rep < numReps; rep++) {
-		SameResize<ImgDim, KernelDim, Stride, NumChannels, In_t, PaddingStyle>(in, out);
-	}
-
-}
-
-
-/**
- * \brief   Stream cast - Casts the input stream to a different datatype (OutT)
- *
- * Used to upscale or downscale a stream, enabling loss of information for downscaling or 
- * 0 padding for upscaling 
- *
- * \tparam     InT          Width, in number of bits, of the input and output stream
- * \tparam     OutT         Number of words to pass through
- *
- * \param      in           Input stream
- * \param      out          Output stream
- * \param      numReps      Number of times the StreamLimiter function has to be called
- *
- */
-template<typename InT, typename OutT>
-void StreamingCast(hls::stream<InT> & in, hls::stream<OutT> & out, unsigned int numReps) {
-  for(unsigned int i = 0; i < numReps; i++) {
-#pragma HLS PIPELINE II=1
-    out.write((OutT) in.read());
-  }
-}
-
-
-/**
- * \brief   Stream Data Width Converter - Converts the width of the input stream in the output stream
- *
- * Used to upscale or downscale a stream, without any loss of data in the procedure. 
- * For downscaling (InWidth > OutWidth), InWidth has to be a multiple of OutWidth.
- * For upscaling (InWidth < OutWidth), OutWidth has to be a multiple of InWidth.
- *
- * \tparam     InWidth      Width, in number of bits, of the input stream
- * \tparam     OutWidth     Width, in number of bits, of the output stream 
- * \tparam     NumInWords   Number of input words to process
- *
- * \param      in           Input stream
- * \param      out          Output stream
- * \param      numReps      Number of times the function has to be called
- *
- */
-template<unsigned int InWidth,		
-		unsigned int OutWidth,		
-		unsigned int NumInWords		
->
-void StreamingDataWidthConverter_Batch(hls::stream<ap_uint<InWidth> > & in,
-		hls::stream<ap_uint<OutWidth> > & out, const unsigned int numReps) {
-  if (InWidth > OutWidth) {
-    // emit multiple output words per input word read
-    CASSERT_DATAFLOW(InWidth % OutWidth == 0);
-    const unsigned int outPerIn = InWidth / OutWidth;
-    const unsigned int totalIters = NumInWords * outPerIn * numReps;
-    unsigned int o = 0;
-    ap_uint<InWidth> ei = 0;
-    for (unsigned int t = 0; t < totalIters; t++) {
-#pragma HLS PIPELINE II=1
-      // read new input word if current out count is zero
-      if (o == 0) {
-        ei = in.read();
-	  }
-      // pick output word from the rightmost position
-      ap_uint<OutWidth> eo = ei(OutWidth - 1, 0);
-      out.write(eo);
-      // shift input to get new output word for next iteration
-      ei = ei >> OutWidth;
-      // increment written output count
-      o++;
-      // wraparound indices to recreate the nested loop structure
-      if (o == outPerIn) {
-        o = 0;
-      }
-    }
-  } else if (InWidth == OutWidth) {
-    // straight-through copy
-    for (unsigned int i = 0; i < NumInWords * numReps; i++) {
-#pragma HLS PIPELINE II=1
-      ap_uint<InWidth> e = in.read();
-      out.write(e);
-    }
-  } else { // InWidth < OutWidth
-    // read multiple input words per output word emitted
-    CASSERT_DATAFLOW(OutWidth % InWidth == 0);
-    const unsigned int inPerOut = OutWidth / InWidth;
-    const unsigned int totalIters = NumInWords * numReps;
-    unsigned int i = 0;
-    ap_uint<OutWidth> eo = 0;
-    for (unsigned int t = 0; t < totalIters; t++) {
-#pragma HLS PIPELINE II=1
-      // read input and shift into output buffer
-      ap_uint<InWidth> ei = in.read();
-      eo = eo >> InWidth;
-      eo(OutWidth - 1, OutWidth - InWidth) = ei;
-      // increment read input count
-      i++;
-      // wraparound logic to recreate nested loop functionality
-      if (i == inPerOut) {
-        i = 0;
-        out.write(eo);
-      }
-    }
-  }
-}
-
-/**
- * \brief   Stream Duplicator - Reads in a stream and writes the data into two identical streams
- *
- * Used to generate the inputs to the bypass and convolutional branches in Resnet-50
- *
- * \tparam     DataWidth    Width, in number of bits, of the streams
- * \tparam     NumTotal     Total number of words in the input stream
- *
- * \param      in           Input stream
- * \param      out1         Output stream I
- * \param      out2         Output stream II
- *
- */
-template<unsigned int DataWidth,
-		unsigned int NumTotal
->
-void DuplicateStreams(stream<ap_uint<DataWidth> > & in, stream<ap_uint<DataWidth> > & out1,
-		stream<ap_uint<DataWidth> > & out2) {
-	
-	for (unsigned int i = 0; i < NumTotal; i++) {
-#pragma HLS PIPELINE II=1		
-		ap_uint<DataWidth> e = in.read();
-		
-		out1.write(e);
-		out2.write(e);
-	}
-}
-
-/**
- * \brief   Batch Stream Duplicator - Reads in a stream multiple times and writes the data into two identical streams
- *
- * Used to generate the inputs to the bypass and convolutional branches in Resnet-50 when dealing with multiple 'frames'
- *
- * \tparam     DataWidth    Width, in number of bits, of the streams
- * \tparam     NumTotal     Total number of words in the input stream
- *
- * \param      in           Input stream
- * \param      out1         Output stream I
- * \param      out2         Output stream II
- * \param      numReps      Number of frames / images
- *
- */
-template<unsigned int DataWidth,
-		unsigned int NumTotal
->
-void DuplicateStreams_Batch(stream<ap_uint<DataWidth> > & in, stream<ap_uint<DataWidth> > & out1,
-		stream<ap_uint<DataWidth> > & out2, const unsigned int numReps) {	
-	for (unsigned int image = 0; image < numReps; image++) {
-		DuplicateStreams<DataWidth, NumTotal>(in, out1, out2);
-	}
-}
-
-/**
- * \brief   Element-Wise Addition - Reads in data elements from two streams and writes the sum of these elements to an output
- *
- * \tparam     NumChannels  Amount of channels of the streams
- * \tparam     In1_t        First operand datatype
- * \tparam     In2_t        Second operand datatype 
- * \tparam     Out_t        Datatype of the accumulation output 
- * \tparam     NumTotal     Total number of words in the input streams
- * \tparam     offset       Offset value for the accumulation
- *
- * \param      in1          Input stream I
- * \param      in2          Input stream II
- * \param      out          Output stream
- *
- */
-
-template <unsigned int NumChannels,
-          typename In1_t,
-          typename In2_t,
-          typename Out_t,
-          unsigned int NumTotal, 
-          int offset = 0>
-void AddStreams(stream<ap_uint<NumChannels * In1_t::width>> &in1, stream<ap_uint<NumChannels * In2_t::width>> &in2,
-                stream<ap_uint<NumChannels * Out_t::width>> &out) {
-
-  for (unsigned int i = 0; i < NumTotal; i++) {
-#pragma HLS PIPELINE II = 1
-    ap_uint<NumChannels * In1_t::width> e1 = in1.read();
-    ap_uint<NumChannels * In2_t::width> e2 = in2.read();
-    ap_uint<NumChannels * Out_t::width> e;
-    for (unsigned int j = 0; j < NumChannels; j++) {
-#pragma HLS UNROLL
-      In1_t op1 = e1((j + 1) * In1_t::width - 1, j * In1_t::width);
-      In2_t op2 = e2((j + 1) * In2_t::width - 1, j * In2_t::width);
-      Out_t sum = op1 + op2 + offset;
-      e((j + 1) * Out_t::width - 1, j * Out_t::width) = sum;
-    }
-    out.write(e);
-  }
-}
-
-
-/**
- * \brief   
- *
- * Used to implement point-wise addition in Resnet-50 for multiple images
- *
- * \tparam     NumChannels  Amount of channels of the streams
- * \tparam     In1_t        First operand datatype
- * \tparam     In2_t        Second operand datatype 
- * \tparam     Out_t        Datatype of the accumulation output 
- * \tparam     NumTotal     Total number of words in the input streams
- * \tparam     offset       Offset value for the accumulation
- *
- * \param      in1          Input stream I
- * \param      in2          Input stream II
- * \param      out          Output stream
- * \param      numReps      Number of frames / images
- *
- */
-template <unsigned int NumChannels,
-          typename In1_t,
-          typename In2_t,
-          typename Out_t,
-          unsigned int NumTotal,
-          int offset = 0>
-void AddStreams_Batch(stream<ap_uint<NumChannels * In1_t::width>> &in1, stream<ap_uint<NumChannels * In2_t::width>> &in2,
-                stream<ap_uint<NumChannels * Out_t::width>> &out, const unsigned int numReps) {
-  for (unsigned int image = 0; image < numReps; image++) {
-    AddStreams<NumChannels, In1_t, In2_t, Out_t, NumTotal, offset>(in1, in2, out);
-  }
-}
-
-/**
- * \brief   Addition Layer - Reads in two streams and writes the sum of these streams to an output
- *
- * Used to merge the outputs of the bypass and convolutional branches in Resnet-50
- *
- * \tparam     NumChannels  Amount of channels of the streams
- * \tparam     In1_t        First operand datatype
- * \tparam     In2_t        Second operand datatype 
- * \tparam     Out_t        Datatype of the accumulation output  * \tparam     NumTotal     Total number of words in the input streams
- * \tparam     PECount      Amount of processing elements working in parallel 
- * \tparam     offset       Offset value for the accumulation 
- *
- * \param      in1          Input stream I
- * \param      in2          Input stream II
- * \param      out          Output stream
- * \param      numReps      Number of frames / images
- *
- */
-template <unsigned int NumChannels,
-          typename In1_t,
-          typename In2_t,
-          typename Out_t,
-          unsigned int NumTotal,
-          unsigned int PECount, 
-          int offset = 0>
-void AddStreamsLayer_Batch(stream<ap_uint<NumChannels * In1_t::width>> &in1, stream<ap_uint<NumChannels * In2_t::width>> &in2,
-                           stream<ap_uint<NumChannels * Out_t::width>> &out, const unsigned int numReps) {
-#pragma HLS INLINE
-  CASSERT_DATAFLOW(NumChannels % PECount == 0);
-  stream<ap_uint<PECount * In1_t::width>> in_folded1;
-  stream<ap_uint<PECount * In2_t::width>> in_folded2;
-  stream<ap_uint<PECount * Out_t::width>> out_folded;
-  StreamingDataWidthConverter_Batch<NumChannels * In1_t::width, PECount * In1_t::width, NumTotal>(in1, in_folded1, numReps);
-  StreamingDataWidthConverter_Batch<NumChannels * In2_t::width, PECount * In2_t::width, NumTotal>(in2, in_folded2, numReps);
-  AddStreams_Batch<PECount, In1_t, In2_t, Out_t, NumTotal *(NumChannels / PECount),offset>(in_folded1, in_folded2, out_folded, numReps);
-  StreamingDataWidthConverter_Batch<PECount * Out_t::width, NumChannels * Out_t::width, NumTotal *(NumChannels / PECount)>(out_folded, out, numReps);
-}
-
-
-/**
- * \brief   Stream Multi Chan Data Width Converter - Converts the width of the input stream in the output stream, working on multiple parallel streams
- *
- * Used to upscale or downscale a stream, without any loss of data in the procedure. 
- * For downscaling (InWidth > OutWidth), InWidth has to be a multiple of OutWidth.
- * For upscaling (InWidth < OutWidth), OutWidth has to be a multiple of InWidth.
- * This version works on the MMV structure, with multiple parallel streams
- *
- * \tparam     InWidth      Width, in number of bits, of the input stream
- * \tparam     OutWidth     Width, in number of bits, of the output stream 
- * \tparam     NumInWords   Number of input words to process
- * \tparam     NumVecs      Number of parallel vectors MMV
- *
- * \param      in           Input stream
- * \param      out          Output stream
- * \param      numReps      Number of times the function has to be called
- *
- */
-template<unsigned int InWidth,		// width of input stream
-		unsigned int OutWidth,		// width of output stream
-		unsigned int NumInWords,		// number of input words to process
-		unsigned int NumVecs
->
-void MultiChanDataWidthConverter_Batch(
-	stream<MultiChanData<NumVecs, InWidth> > & in,
-	stream<MultiChanData<NumVecs, OutWidth> > & out,
-	const unsigned int numReps) {
-	if (InWidth > OutWidth) {
-		// emit multiple output words per input word read
-        CASSERT_DATAFLOW((InWidth % OutWidth) == 0);
-		const unsigned int outPerIn = InWidth / OutWidth;
-		const unsigned int totalIters = NumInWords * outPerIn * numReps;
-		unsigned int o = 0;
-		MultiChanData<NumVecs, InWidth> ei;
-		for (unsigned int t = 0; t < totalIters; t++) {
-#pragma HLS PIPELINE II=1
-			// read new input word if current out count is zero
-			if (o == 0)
-				ei = in.read();
-			// pick output word from the rightmost position
-			MultiChanData<NumVecs, OutWidth> eo;
-			for(unsigned int v = 0; v < NumVecs; v++) {
-#pragma HLS UNROLL
-				eo.data[v] = (ei.data[v])(OutWidth - 1, 0);
-				// shift input to get new output word for next iteration
-				ei.data[v] = ei.data[v] >> OutWidth;
-			}
-			out.write(eo);
-			// increment written output count
-			o++;
-			// wraparound indices to recreate the nested loop structure
-			if (o == outPerIn) {
-				o = 0;
-			}
-		}
-	} else if (InWidth == OutWidth) {
-		// straight-through copy
-		for (unsigned int i = 0; i < NumInWords * numReps; i++) {
-#pragma HLS PIPELINE II=1
-			MultiChanData<NumVecs, InWidth> e = in.read();
-			MultiChanData<NumVecs, OutWidth> eo;
-			// we don't support typecasting between templated types, so explicitly
-			// transfer vector-by-vector here
-			for(unsigned int v=0; v < NumVecs; v++) {
-#pragma HLS UNROLL
-				eo.data[v] = e.data[v];
-			}
-			out.write(eo);
-		}
-	} else { // InWidth < OutWidth
-		// read multiple input words per output word emitted
-		CASSERT_DATAFLOW((OutWidth % InWidth) == 0);
-		const unsigned int inPerOut = OutWidth / InWidth;
-		const unsigned int totalIters = NumInWords * numReps;
-		unsigned int i = 0;
-		MultiChanData<NumVecs, OutWidth> eo;
-		for (unsigned int t = 0; t < totalIters; t++) {
-#pragma HLS PIPELINE II=1
-			// read input and shift into output buffer
-			MultiChanData<NumVecs, InWidth> ei = in.read();
-			for(unsigned int v = 0; v < NumVecs; v++) {
-#pragma HLS UNROLL
-				eo.data[v] = eo.data[v] >> InWidth;
-				(eo.data[v])(OutWidth - 1, OutWidth - InWidth) = ei.data[v];
-			}
-			// increment read input count
-			i++;
-			// wraparound logic to recreate nested loop functionality
-			if (i == inPerOut) {
-				i = 0;
-				out.write(eo);
-			}
-		}
-	}
-}
-
-
-/**
- * \brief   Flatten Multi Chan Data - Converts the parallel input stream in a flatten output stream
- *
- * Used to pach a flattened stream into a structure with multiple parallel streams
- *
- * \tparam     NumChannels  Number of channels flattened in the input stream
- * \tparam     DataWidth    Width, in number of bits, of each stream
- *
- * \param      in           Input parallel stream
- * \param      out          Output stream
- * \param      numReps      Number of times the function has to be called
- *
- */
-template <unsigned int NumChannels, unsigned int DataWidth>
-void FlattenMultiChanData(
-	stream<MultiChanData<NumChannels, DataWidth> > & in,
-	stream<ap_uint<NumChannels*DataWidth> > & out,
-	const unsigned int numReps
-) {
-	for(unsigned int r = 0; r < numReps; r++) {
-#pragma HLS PIPELINE II=1
-		MultiChanData<NumChannels, DataWidth> e = in.read();
-		ap_uint<NumChannels*DataWidth> o = 0;
-		for(unsigned int v = 0; v < NumChannels; v++) {
-#pragma HLS UNROLL
-			o(DataWidth*(v+1)-1, DataWidth*v) = e.data[v];
-		}
-		out.write(o);
-	}
-}
-
-/**
- * \brief   Pack Multi Chan Data - Converts the flatten input stream into a parallel output stream
- *
- * Used to pach a flattened stream into a structure with multiple parallel streams
- *
- * \tparam     NumChannels  Number of channels flattened in the input stream
- * \tparam     DataWidth    Width, in number of bits, of each stream
- *
- * \param      in           Input stream
- * \param      out          Output parallel stream
- * \param      numReps      Number of times the function has to be called
- *
- */
-template <unsigned int NumChannels, unsigned int DataWidth>
-void PackMultiChanData(
-	stream<ap_uint<NumChannels*DataWidth> > & in,
-	stream<MultiChanData<NumChannels, DataWidth> > & out,
-	const unsigned int numReps
-) {
-	for(unsigned int r = 0; r < numReps; r++) {
-#pragma HLS PIPELINE II=1
-		ap_uint<NumChannels*DataWidth> e = in.read();
-		MultiChanData<NumChannels, DataWidth> o;
-		for(unsigned int v = 0; v < NumChannels; v++) {
-#pragma HLS UNROLL
-			o.data[v] = e(DataWidth*(v+1)-1, DataWidth*v);
-		}
-		out.write(o);
-	}
-}
-
-
-template<unsigned IW, unsigned OW, unsigned N>
- class WidthAdjustedInputStream {
-  hls::stream<ap_uint<OW>>  m_target;
-
- public:
-  WidthAdjustedInputStream(hls::stream<ap_uint<IW> >&  source, unsigned const  reps) {
-    StreamingDataWidthConverter_Batch<IW, OW, N>(source, m_target, reps);
-  }
-  ~WidthAdjustedInputStream() {}
-
- public:
-  operator hls::stream<ap_uint<OW> >&() {
-    return  m_target;
-  }
-};
-template<unsigned W, unsigned N>
- class WidthAdjustedInputStream<W, W, N> {
-
-  hls::stream<ap_uint<W>> &m_source;
-
- public:
-  WidthAdjustedInputStream(hls::stream<ap_uint<W> >&  source, unsigned const  reps) : m_source(source) {}
-  ~WidthAdjustedInputStream() {}
-
- public:
-  operator hls::stream<ap_uint<W> >&() {
-    return  m_source;
-  }
-};
-
-
-template<unsigned IW, unsigned OW, unsigned N>
-class WidthAdjustedOutputStream {
-  hls::stream<ap_uint<IW>>  m_buffer;
-  hls::stream<ap_uint<OW>> &m_target;
-  unsigned const  m_reps;
-  
- public:
-  WidthAdjustedOutputStream(hls::stream<ap_uint<OW> >&  target, unsigned const  reps) : m_target(target), m_reps(reps) {}
-  ~WidthAdjustedOutputStream() {
-    StreamingDataWidthConverter_Batch<IW, OW, N>(m_buffer, m_target, m_reps);
-  }
-
- public:
-  operator hls::stream<ap_uint<IW> >&() {
-    return  m_buffer;
-  }
-};
-template<unsigned W, unsigned N>
- class WidthAdjustedOutputStream<W, W, N> {
-  hls::stream<ap_uint<W>> &m_target;
-
- public:
-  WidthAdjustedOutputStream(hls::stream<ap_uint<W> >&  target, unsigned const  reps)
-    : m_target(target) {}
-  ~WidthAdjustedOutputStream() {}
-
- public:
-  operator hls::stream<ap_uint<W> >&() {
-    return  m_target;
-  }
-};
-
-#endif
diff --git a/finn-hlslib/tb/.gitignore b/finn-hlslib/tb/.gitignore
deleted file mode 100755
index 1c64c8e3393ae9acd9512304444a878746feeaea..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/.gitignore
+++ /dev/null
@@ -1,5 +0,0 @@
-# Ignore synthesis files and logs
-/hls-syn/*
-/vivado_hls.log
-/config.h
-/memdata.h
diff --git a/finn-hlslib/tb/README.md b/finn-hlslib/tb/README.md
deleted file mode 100755
index d3ece08ecbc50c2f2f605eaad333ee3ca214c86c..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/README.md
+++ /dev/null
@@ -1,7 +0,0 @@
-# HLS Library Unit Tests
-
-## Instructions
-1. Generate `config.h` and `memdata.h` by running gen_weights.py (modify it if you need non-default precision for weights/activations)
-1. Set the FINN_HLS_ROOT to the root folder of the repo, e.g. `setenv FINN_HLS_ROOT <path to repo root>`
-1. Run a unit test with Vivado HLS, e.g. `vivado_hls <testname>.tcl`
-
diff --git a/finn-hlslib/tb/add_config.h b/finn-hlslib/tb/add_config.h
deleted file mode 100755
index 79f576266d0a26cead46eff597da30176d21c662..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/add_config.h
+++ /dev/null
@@ -1,7 +0,0 @@
-#define NUM_CHANNELS 32
-#define INPUT_WIDTH 4
-#define OUTPUT_WIDTH 4
-#define NUM_WORDS 4
-#define NUM_REPEAT 1
-#define OFFSET 2
-#define SAT 1
diff --git a/finn-hlslib/tb/add_tb.cpp b/finn-hlslib/tb/add_tb.cpp
deleted file mode 100755
index cba6a0b9dda15feab209dd40a84f5717e481f4fe..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/add_tb.cpp
+++ /dev/null
@@ -1,65 +0,0 @@
-#include <iostream>
-#include <cmath>
-#include <ctime>
-#include <cstring>
-#include <hls_stream.h>
-#include <cstdlib>
-#define AP_INT_MAX_W 16384
-#include "ap_int.h"
-#include "weights.hpp"
-#include "bnn-library.h"
-
-#include "add_config.h"
-
-#include "activations.hpp"
-#include "interpret.hpp"
-
-using namespace hls;
-using namespace std;
-
-void Testbench_add(stream<ap_uint<NUM_CHANNELS * INPUT_WIDTH>> &in1, stream<ap_uint<NUM_CHANNELS * INPUT_WIDTH>> &in2,
-	stream<ap_uint<NUM_CHANNELS * OUTPUT_WIDTH>> &out, unsigned int numReps);
-
-void sw_add(ap_uint<NUM_CHANNELS * INPUT_WIDTH> val1, ap_uint<NUM_CHANNELS * INPUT_WIDTH> val2, ap_uint<NUM_CHANNELS * OUTPUT_WIDTH> & out) {
-	for (int i = 0; i < NUM_CHANNELS; i++) {
-		ap_uint<INPUT_WIDTH> op1 = val1((i+1)*INPUT_WIDTH-1, i*INPUT_WIDTH);
-		ap_uint<INPUT_WIDTH> op2 = val2((i+1)*INPUT_WIDTH-1, i*INPUT_WIDTH);
-#ifdef SAT
-		ap_ufixed<OUTPUT_WIDTH, OUTPUT_WIDTH, AP_RND, AP_SAT> sum = op1 + op2 + OFFSET;
-#else
-		ap_ufixed<OUTPUT_WIDTH, OUTPUT_WIDTH, AP_TRN> sum = op1 + op2 + OFFSET;
-#endif
-		out((i+1)*OUTPUT_WIDTH-1,i*OUTPUT_WIDTH)  = sum;
-
-	}
-}
-
-int main()
-{
-	stream<ap_uint<NUM_CHANNELS * INPUT_WIDTH> > input_stream1("input_stream1");
-	stream<ap_uint<NUM_CHANNELS * INPUT_WIDTH>> input_stream2("input_stream2");
-	stream<ap_uint<NUM_CHANNELS * OUTPUT_WIDTH> > output_stream("output_stream");
-	static ap_uint<NUM_CHANNELS * OUTPUT_WIDTH> expected[NUM_REPEAT*NUM_WORDS];
-	unsigned int count_out = 0;
-	unsigned int count_in = 0;
-	for (unsigned int counter = 0; counter < NUM_REPEAT*NUM_WORDS; counter++) {
-		ap_uint<NUM_CHANNELS * INPUT_WIDTH> value = (ap_uint<NUM_CHANNELS * INPUT_WIDTH>) counter;
-		sw_add(value, value, expected[counter]);
-		input_stream1.write(value);
-		input_stream2.write(value);		
-	}
-
-	Testbench_add(input_stream1, input_stream2, output_stream, NUM_REPEAT);
-	for (unsigned int counter = 0; counter < NUM_REPEAT*NUM_WORDS; counter++)
-	{
-		ap_uint<NUM_CHANNELS * OUTPUT_WIDTH> value = output_stream.read();
-		if(value!= expected[counter])
-		{
-			cout << "ERROR with counter " << counter << std::hex << " expected " << expected[counter] << " value " << value << std::dec <<  endl;
-			return(1);
-		}
-	}
-
-}
-
-
diff --git a/finn-hlslib/tb/add_top.cpp b/finn-hlslib/tb/add_top.cpp
deleted file mode 100755
index d6159e6d474749fcf84b1ad2cd2e25e8596fe57c..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/add_top.cpp
+++ /dev/null
@@ -1,15 +0,0 @@
-#include <hls_stream.h>
-using namespace hls;
-#include "ap_int.h"
-#include "bnn-library.h"
-
-
-#include "add_config.h"
-
-void Testbench_add(stream<ap_uint<NUM_CHANNELS * INPUT_WIDTH> > & in1, stream<ap_uint<NUM_CHANNELS * INPUT_WIDTH> > & in2, stream<ap_uint<NUM_CHANNELS * OUTPUT_WIDTH> > & out, const unsigned int numReps){
-	
-	if (SAT == 0)
-		AddStreams_Batch<NUM_CHANNELS, ap_uint<INPUT_WIDTH>, ap_uint<INPUT_WIDTH>, ap_ufixed<OUTPUT_WIDTH, OUTPUT_WIDTH, AP_TRN>, NUM_WORDS, OFFSET>(in1, in2, out, numReps);
-	else
-		AddStreams_Batch<NUM_CHANNELS, ap_uint<INPUT_WIDTH>, ap_uint<INPUT_WIDTH>, ap_ufixed<OUTPUT_WIDTH, OUTPUT_WIDTH, AP_RND, AP_SAT>, NUM_WORDS, OFFSET>(in1, in2, out, numReps);
-}
diff --git a/finn-hlslib/tb/cnvlayer_batch_unit.cpp b/finn-hlslib/tb/cnvlayer_batch_unit.cpp
deleted file mode 100755
index b53a138020db7191dd17ca9abc82c510522b2237..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/cnvlayer_batch_unit.cpp
+++ /dev/null
@@ -1,41 +0,0 @@
-#include <hls_stream.h>
-using namespace hls;
-#include "ap_int.h"
-#include "bnn-library.h"
-
-#include "activations.hpp"
-#include "weights.hpp"
-#include "activations.hpp"
-#include "interpret.hpp"
-#include "mvau.hpp"
-#include "conv.hpp"
-#include "memdata.h"
-#include "config.h"
-
-// adheres to new config.h
-#define OFD OFMDim1
-#define OFC OFM_Channels1
-#define IFD IFMDim1
-#define IFC IFM_Channels1
-#define TILES TILE1
-#define SIMD SIMD1
-#define PE PE1
-#define WP WEIGHT_PRECISION
-#define AP ACTIVATION_PRECISION
-#define K KERNEL_DIM
-
-typedef stream<ap_uint<SIMD * PE * WP>> paramS;
-typedef stream<ap_uint<IFC * INPUT_PRECISION>> dataInS;
-typedef stream<ap_uint<OFC * ACTIVATION_PRECISION>> dataOutS;
-
-void ConvLayer_B(dataInS &in, dataOutS &out, int const numReps) {
-#pragma HLS INTERFACE s_axilite port=return bundle=control
-#pragma HLS INTERFACE s_axilite port=numReps bundle=control
-#pragma HLS INTERFACE axis port=&in bundle=hostmem
-#pragma HLS INTERFACE axis port=&out bundle=hostmem
-#pragma HLS DATAFLOW
-
-ConvLayer_Batch<K, IFC, IFD, OFC, OFD, SIMD, PE, Slice<ap_uint<INPUT_PRECISION> >, Slice<ap_int<AP> >, Identity>(
-  in, out, PARAM::weights, PassThroughActivation<ap_uint<AP>>(), numReps, ap_resource_dsp());
-
-}
diff --git a/finn-hlslib/tb/conv.hpp b/finn-hlslib/tb/conv.hpp
deleted file mode 100755
index 19e618f028c5a335ccb2a753451f3a50a91c9ef3..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/conv.hpp
+++ /dev/null
@@ -1,91 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *
- *  \file conv.hpp
- *
- *  C++ Implementation of a convolution, used for testbench
- *
- *****************************************************************************/
-#ifndef CONV_TB_H
-#define CONV_TB_H
-
-template<int MAX_IMAGE,
-	int IFMDim, 
-	int OFMDim, 
-	int IFMCh, 
-	int OFMCh, 
-	typename TI, 
-	typename TO, 
-	typename TW>
-	void conv_1x1(TI const img[MAX_IMAGE][IFMDim][IFMDim][IFMCh], TW const weights[OFMCh][IFMCh], TO out[MAX_IMAGE][OFMDim][OFMDim][OFMCh]){
-		constexpr int stride= (OFMDim==1)? IFMDim:(IFMDim - 1)/(OFMDim - 1);
-		for(int n=0;n<MAX_IMAGE;n++)
-			for(int x=0;x<OFMDim;x++)
-				for(int y=0;y<OFMDim;y++)
-					for(int h=0;h<OFMCh;h++){
-						TO tmp = 0;
-						for(int w=0;w<IFMCh;w++)
-							tmp+=img[n][x*stride][y*stride][w] * weights[h][w];
-						out[n][x][y][h] = tmp;
-
-					}
-	}
-
-template<int MAX_IMAGE,
-	int IFMDim,
-	int OFMDim,
-	int IFMCh,
-	int OFMCh,
-	int kernel,
-	int stride,
-	typename TI,
-	typename TO,
-	typename TW>
-	void conv(TI const img[MAX_IMAGE][IFMDim*IFMDim][IFMCh], TW const weights[OFMCh][kernel][kernel][IFMCh], TO out[MAX_IMAGE][OFMDim][OFMDim][OFMCh]){
-		for(int n=0;n<MAX_IMAGE;n++)
-			for(int x=0;x<OFMDim;x++)
-				for(int y=0;y<OFMDim;y++)
-					for(int h=0;h<OFMCh;h++){
-						TO tmp = 0;
-						for (int ky=0;ky<kernel;ky++)
-							for (int kx=0;kx<kernel;kx++)
-								for(int w=0;w<IFMCh;w++){
-									tmp+=img[n][(y*stride+ky)*IFMDim+x*stride+kx][w] * weights[h][kx][ky][w];
-								}
-						out[n][x][y][h] = tmp;
-					}
-	}
-
-#endif
diff --git a/finn-hlslib/tb/conv3_tb.cpp b/finn-hlslib/tb/conv3_tb.cpp
deleted file mode 100755
index 6657e60f0488929ba04e374edf505ac9fc8335f5..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/conv3_tb.cpp
+++ /dev/null
@@ -1,166 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *
- *  \file conv3_tb.cpp
- *
- *  Testbench for the convolution HLS block
- *
- *****************************************************************************/
-#include <iostream>
-#include <cmath>
-#include <ctime>
-#include <cstring>
-#include <hls_stream.h>
-#include <cstdlib>
-#define AP_INT_MAX_W 16384
-#include "ap_int.h"
-#include "weights.hpp"
-#include "bnn-library.h"
-#include "memdata.h"
-#include "config.h"
-#include "activations.hpp"
-#include "weights.hpp"
-#include "activations.hpp"
-#include "interpret.hpp"
-#include "mvau.hpp"
-#include "conv.hpp"
-using namespace hls;
-using namespace std;
-
-#define MAX_IMAGES 1
-void Testbench_conv(stream<ap_uint<IFM_Channels1*INPUT_PRECISION> > & in, stream<ap_uint<OFM_Channels1*ACTIVATION_PRECISION> > & out, unsigned int numReps);
-
-int main()
-{
-	static	ap_uint<INPUT_PRECISION> IMAGE[MAX_IMAGES][IFMDim1*IFMDim1][IFM_Channels1];
-	static	ap_int<ACTIVATION_PRECISION> TEST[MAX_IMAGES][OFMDim1][OFMDim1][OFM_Channels1];
-	stream<ap_uint<IFM_Channels1*INPUT_PRECISION> > input_stream("input_stream");
-	stream<ap_uint<OFM_Channels1*ACTIVATION_PRECISION> > output_stream("output_stream");
-	unsigned int counter = 0;
-	for (unsigned int n_image = 0; n_image < MAX_IMAGES; n_image++) {
-		for (unsigned int oy = 0; oy < IFMDim1; oy++) {
-			for (unsigned int ox = 0; ox < IFMDim1; ox++) {
-				ap_uint<INPUT_PRECISION*IFM_Channels1> input_channel = 0;
-				for(unsigned int channel = 0; channel < IFM_Channels1; channel++)
-				{
-					ap_uint<INPUT_PRECISION> input = (ap_uint<INPUT_PRECISION>)(counter);
-					IMAGE[n_image][oy*IFMDim1+ox][channel]= input;
-					input_channel = input_channel >> INPUT_PRECISION;
-					input_channel(IFM_Channels1*INPUT_PRECISION-1,(IFM_Channels1-1)*INPUT_PRECISION)=input;
-
-					counter++;
-				}
-				input_stream.write(input_channel);
-			}
-		}
-	}
-	static	ap_int<4> W1[OFM_Channels1][KERNEL_DIM][KERNEL_DIM][IFM_Channels1];
-	// initialize the weights
-	constexpr int TX = (IFM_Channels1*KERNEL_DIM*KERNEL_DIM) / SIMD1;
-	constexpr int TY = OFM_Channels1 / PE1;
-	unsigned int kx=0;
-	unsigned int ky=0;
-	unsigned int chan_count=0;
-	unsigned int out_chan_count=0;
-	for (unsigned int oy = 0; oy < TY; oy++) {
-		for (unsigned int ox = 0; ox <TX; ox++) {
-			for(int pe=0;pe <PE1;pe++){
-				for(int simd=0;simd<SIMD1;simd++){
-					std::cout << " Value: "  << PARAM::weights.weights(oy*TX + ox)[pe][simd] <<std::endl;
-					std::cout << "W1[" << out_chan_count << "][" << kx << "][" << ky << "][" << chan_count << "]"<< std::endl;
-					W1[out_chan_count][kx][ky][chan_count] = PARAM::weights.weights(oy*TX + ox)[pe][simd];
-					kx++;
-					if (kx==KERNEL_DIM){
-						kx=0;
-					    ky++;
-					    if (ky==KERNEL_DIM){
-					    	ky=0;
-					    	chan_count++;
-						    if (chan_count==IFM_Channels1){
-						    	chan_count=0;
-						    	out_chan_count++;
-							    if (out_chan_count==OFM_Channels1){
-							    	out_chan_count=0;
-							    }
-						    }
-					    }
-					}
-				}
-			}
-		}
-	}
-	conv<MAX_IMAGES,IFMDim1,OFMDim1,IFM_Channels1,OFM_Channels1, KERNEL_DIM, 1, ap_uint<INPUT_PRECISION> >(IMAGE, W1, TEST);
-	Testbench_conv(input_stream, output_stream, MAX_IMAGES);
-	int err_counter = 0, err_perimage=0;
-	ap_int<ACTIVATION_PRECISION> out_chan;
-	for (unsigned int n_image = 0; n_image < MAX_IMAGES; n_image++) {
-		for (unsigned int oy = 0; oy < OFMDim1; oy++) {
-			for (unsigned int ox = 0; ox < OFMDim1; ox++) {
-				for(int e=0;e<1;e++){
-					ap_uint<OFM_Channels1*ACTIVATION_PRECISION> outElem = output_stream.read();
-					for(unsigned int channel = 0; channel < OFM_Channels1; channel++){
-						ap_int<ACTIVATION_PRECISION> EXP = TEST[n_image][ox][oy][channel + e * OFM_Channels1];
-						out_chan(ACTIVATION_PRECISION-1,0) = outElem((channel + 1)*ACTIVATION_PRECISION-1,channel*ACTIVATION_PRECISION);
-
-						if (EXP != out_chan){
-							std::cout << "ERROR: Expected["<<oy <<"]["<<ox<<"]["<<channel<<"]=" << EXP << " actual " <<  out_chan << std::endl;
-							//return 1;
-							err_counter ++;
-							err_perimage++;
-							//if(err_counter>10)
-								//return 1;
-						}
-					}
-				}
-			}
-		}
-		if(err_perimage == 0){
-			std::cout << "Image # " << n_image << " passed the testing."<< std::endl;
-		}
-		else{
-			err_perimage=0;
-			std::cout << "Image # " << n_image << " failed the testing."<< std::endl;
-		}
-	}
-	if(err_counter == 0){
-		return 0;
-	}
-	else{
-		return 1;
-	}
-
-}
-
-
diff --git a/finn-hlslib/tb/conv3mmv_tb.cpp b/finn-hlslib/tb/conv3mmv_tb.cpp
deleted file mode 100755
index 4dee0da2c088704afc7b514c4475c62a8b31cfe8..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/conv3mmv_tb.cpp
+++ /dev/null
@@ -1,164 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *
- *  \file conv3mmv_tb.cpp
- *
- *  Testbench for the convolution HLS block
- *
- *****************************************************************************/
-#include <iostream>
-#include <cmath>
-#include <ctime>
-#include <cstring>
-#include <hls_stream.h>
-#include <cstdlib>
-#define AP_INT_MAX_W 16384
-#include "ap_int.h"
-#include "weights.hpp"
-#include "bnn-library.h"
-#include "memdata.h"
-#include "config.h"
-#include "activations.hpp"
-#include "weights.hpp"
-#include "activations.hpp"
-#include "interpret.hpp"
-#include "mvau.hpp"
-#include "conv.hpp"
-using namespace hls;
-using namespace std;
-
-#define MAX_IMAGES 1
-void Testbench_convmmv(stream<ap_uint<IFM_Channels1*INPUT_PRECISION> > & in, stream<ap_uint<OFM_Channels1*ACTIVATION_PRECISION> > & out, unsigned int numReps);
-
-int main()
-{
-	static	ap_uint<INPUT_PRECISION> IMAGE[MAX_IMAGES][IFMDim1*IFMDim1][IFM_Channels1];
-	static	ap_int<ACTIVATION_PRECISION> TEST[MAX_IMAGES][OFMDim1][OFMDim1][OFM_Channels1];
-	stream<ap_uint<IFM_Channels1*INPUT_PRECISION> > input_stream("input_stream");
-	stream<ap_uint<OFM_Channels1*ACTIVATION_PRECISION> > output_stream("output_stream");
-	unsigned int counter = 0;
-	for (unsigned int n_image = 0; n_image < MAX_IMAGES; n_image++) {
-		for (unsigned int oy = 0; oy < IFMDim1; oy++) {
-			for (unsigned int ox = 0; ox < IFMDim1; ox++) {
-				ap_uint<INPUT_PRECISION*IFM_Channels1> input_channel = 0;
-				for(unsigned int channel = 0; channel < IFM_Channels1; channel++)
-				{
-					ap_uint<INPUT_PRECISION> input = (ap_uint<INPUT_PRECISION>)(counter);
-					IMAGE[n_image][oy*IFMDim1+ox][channel]= input;
-					input_channel = input_channel >> INPUT_PRECISION;
-					input_channel(IFM_Channels1*INPUT_PRECISION-1,(IFM_Channels1-1)*INPUT_PRECISION)=input;
-
-					counter++;
-				}
-				input_stream.write(input_channel);
-			}
-		}
-	}
-	static	ap_int<4> W1[OFM_Channels1][KERNEL_DIM][KERNEL_DIM][IFM_Channels1];
-	// initialize the weights
-	constexpr int TX = (IFM_Channels1*KERNEL_DIM*KERNEL_DIM) / SIMD1;
-	constexpr int TY = OFM_Channels1 / PE1;
-	unsigned int kx=0;
-	unsigned int ky=0;
-	unsigned int chan_count=0;
-	unsigned int out_chan_count=0;
-	for (unsigned int oy = 0; oy < TY; oy++) {
-		for (unsigned int ox = 0; ox <TX; ox++) {
-			for(int pe=0;pe <PE1;pe++){
-				for(int simd=0;simd<SIMD1;simd++){
-					W1[out_chan_count][kx][ky][chan_count] = PARAM::weights.weights(oy*TX + ox)[pe][simd];
-					kx++;
-					if (kx==KERNEL_DIM){
-						kx=0;
-					    ky++;
-					    if (ky==KERNEL_DIM){
-					    	ky=0;
-					    	chan_count++;
-						    if (chan_count==IFM_Channels1){
-						    	chan_count=0;
-						    	out_chan_count++;
-							    if (out_chan_count==OFM_Channels1){
-							    	out_chan_count=0;
-							    }
-						    }
-					    }
-					}
-				}
-			}
-		}
-	}
-	conv<MAX_IMAGES,IFMDim1,OFMDim1,IFM_Channels1,OFM_Channels1, KERNEL_DIM, 1, ap_uint<INPUT_PRECISION> >(IMAGE, W1, TEST);
-	Testbench_convmmv(input_stream, output_stream, MAX_IMAGES);
-	int err_counter = 0, err_perimage=0;
-	ap_int<ACTIVATION_PRECISION> out_chan;
-	for (unsigned int n_image = 0; n_image < MAX_IMAGES; n_image++) {
-		for (unsigned int oy = 0; oy < OFMDim1; oy++) {
-			for (unsigned int ox = 0; ox < OFMDim1; ox++) {
-				for(int e=0;e<1;e++){
-					ap_uint<OFM_Channels1*ACTIVATION_PRECISION> outElem = output_stream.read();
-					for(unsigned int channel = 0; channel < OFM_Channels1; channel++){
-						ap_int<ACTIVATION_PRECISION> EXP = TEST[n_image][ox][oy][channel + e * OFM_Channels1];
-						out_chan(ACTIVATION_PRECISION-1,0) = outElem((channel + 1)*ACTIVATION_PRECISION-1,channel*ACTIVATION_PRECISION);
-
-						if (EXP != out_chan){
-							std::cout << "ERROR: Expected["<<oy <<"]["<<ox<<"]["<<channel<<"]=" << EXP << " actual " <<  out_chan << std::endl;
-							return 1;
-							err_counter ++;
-							err_perimage++;
-							//if(err_counter>10)
-								//return 1;
-						}
-					}
-				}
-			}
-		}
-		if(err_perimage == 0){
-			std::cout << "Image # " << n_image << " passed the testing."<< std::endl;
-		}
-		else{
-			err_perimage=0;
-			std::cout << "Image # " << n_image << " failed the testing."<< std::endl;
-		}
-	}
-	if(err_counter == 0){
-		return 0;
-	}
-	else{
-		return 1;
-	}
-
-}
-
-
diff --git a/finn-hlslib/tb/conv_mmv.cpp b/finn-hlslib/tb/conv_mmv.cpp
deleted file mode 100755
index a1707b65c0f2d18fe7610d46590d67df9e62a5cf..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/conv_mmv.cpp
+++ /dev/null
@@ -1,59 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *
- *  \file conv_top.cpp
- *
- *  HLS Top function with a single convolutional layer for unit testing
- *
- *****************************************************************************/
-#include <hls_stream.h>
-using namespace hls;
-#include "ap_int.h"
-#include "bnn-library.h"
-
-#include "activations.hpp"
-#include "weights.hpp"
-#include "activations.hpp"
-#include "interpret.hpp"
-#include "mvau.hpp"
-#include "conv.hpp"
-#include "memdata.h"
-#include "config.h"
-
-void Testbench_convmmv(stream<ap_uint<IFM_Channels1*INPUT_PRECISION> > & in, stream<ap_uint<OFM_Channels1*ACTIVATION_PRECISION> > & out, unsigned int numReps){
-#pragma HLS DATAFLOW
-		ConvLayer_Batch_MMV<KERNEL_DIM, IFM_Channels1, IFMDim1, OFM_Channels1, OFMDim1, STRIDE, SIMD1, PE1, MMV1, Slice_mmv<ap_uint<INPUT_PRECISION>, MMV1 >, Slice_mmv<ap_int<16>, MMV1 >, Identity >(in, out, PARAM::weights, PassThroughActivation<ap_uint<16>>(), numReps, ap_resource_dsp());
-
-}
diff --git a/finn-hlslib/tb/conv_top.cpp b/finn-hlslib/tb/conv_top.cpp
deleted file mode 100755
index f4279bc212d19def60e878e45c2be89aaa08ba8a..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/conv_top.cpp
+++ /dev/null
@@ -1,58 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *
- *  \file conv_top.cpp
- *
- *  HLS Top function with a single convolutional layer for unit testing
- *
- *****************************************************************************/
-#include <hls_stream.h>
-using namespace hls;
-#include "ap_int.h"
-#include "bnn-library.h"
-
-#include "activations.hpp"
-#include "weights.hpp"
-#include "activations.hpp"
-#include "interpret.hpp"
-#include "mvau.hpp"
-#include "conv.hpp"
-#include "memdata.h"
-#include "config.h"
-
-void Testbench_conv(stream<ap_uint<IFM_Channels1*INPUT_PRECISION> > & in, stream<ap_uint<OFM_Channels1*ACTIVATION_PRECISION> > & out, unsigned int numReps){
-#pragma HLS DATAFLOW
-	ConvLayer_Batch<KERNEL_DIM, IFM_Channels1, IFMDim1, OFM_Channels1, OFMDim1, SIMD1, PE1, Slice<ap_uint<INPUT_PRECISION> >, Slice<ap_int<16> >, Identity >(in, out, PARAM::weights, PassThroughActivation<ap_uint<16>>(), numReps, ap_resource_dsp());
-}
diff --git a/finn-hlslib/tb/dup_stream_config.h b/finn-hlslib/tb/dup_stream_config.h
deleted file mode 100755
index c2be8fd45bdd934bd30dcc20e0c7ac201eb03809..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/dup_stream_config.h
+++ /dev/null
@@ -1,2 +0,0 @@
-#define WIDTH 8
-#define NUM_REPEAT 4
\ No newline at end of file
diff --git a/finn-hlslib/tb/dup_stream_tb.cpp b/finn-hlslib/tb/dup_stream_tb.cpp
deleted file mode 100755
index 14a5567f289c057c9f4c0825aec75f890d9b1e22..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/dup_stream_tb.cpp
+++ /dev/null
@@ -1,50 +0,0 @@
-#include <iostream>
-#include <cmath>
-#include <ctime>
-#include <cstring>
-#include <hls_stream.h>
-#include <cstdlib>
-#define AP_INT_MAX_W 16384
-#include "ap_int.h"
-#include "weights.hpp"
-#include "bnn-library.h"
-
-#include "dup_stream_config.h"
-
-#include "activations.hpp"
-#include "interpret.hpp"
-
-using namespace hls;
-using namespace std;
-
-#define MAX_IMAGES 1
-void Testbench_dup_stream(stream<ap_uint<WIDTH> > & in, stream<ap_uint<WIDTH> > & out1, stream<ap_uint<WIDTH> > & out2, unsigned int numReps);
-
-int main()
-{
-	stream<ap_uint<WIDTH> > input_stream("input_stream");
-	stream<ap_uint<WIDTH> > output_stream1("output_stream");
-	stream<ap_uint<WIDTH> > output_stream2("output_stream");
-	static ap_uint<WIDTH> expected[NUM_REPEAT*MAX_IMAGES];
-	unsigned int count_out = 0;
-	unsigned int count_in = 0;
-	for (unsigned int counter = 0; counter < NUM_REPEAT*MAX_IMAGES; counter++) {
-		ap_uint<WIDTH> value = (ap_uint<WIDTH>) counter;
-		input_stream.write(value);
-		expected[counter] = value;
-	}
-	Testbench_dup_stream(input_stream, output_stream1, output_stream2, MAX_IMAGES);
-	for (unsigned int counter=0 ; counter <  NUM_REPEAT*MAX_IMAGES; counter++)
-	{
-		ap_uint<WIDTH> value1 = output_stream1.read();
-		ap_uint<WIDTH> value2 = output_stream2.read();
-		if((value1!= expected[counter]) || (value1!= expected[counter]))
-		{
-			cout << "ERROR with counter " << counter << std::hex << " expected " << expected[counter] << " value1 " << value1 << " value2 " << value2 << std::dec <<  endl;
-			return(1);
-		}
-	}
-
-}
-
-
diff --git a/finn-hlslib/tb/dup_stream_top.cpp b/finn-hlslib/tb/dup_stream_top.cpp
deleted file mode 100755
index 8090171d378f84ed2a0ec8e3c268f450bd6d9bc2..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/dup_stream_top.cpp
+++ /dev/null
@@ -1,11 +0,0 @@
-#include <hls_stream.h>
-using namespace hls;
-#include "ap_int.h"
-#include "bnn-library.h"
-
-
-#include "dup_stream_config.h"
-
-void Testbench_dup_stream(stream<ap_uint<WIDTH> > & in, stream<ap_uint<WIDTH> > & out1, stream<ap_uint<WIDTH> > & out2, unsigned int numReps){
-	DuplicateStreams_Batch<WIDTH, NUM_REPEAT>(in, out1, out2, numReps);
-}
diff --git a/finn-hlslib/tb/dwc_config.h b/finn-hlslib/tb/dwc_config.h
deleted file mode 100755
index dbdf165ad1afa004d5c07ec1acf72e50db9ad520..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/dwc_config.h
+++ /dev/null
@@ -1,34 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-#define INPUT_WIDTH 8
-#define OUT_WIDTH 4
-#define NUM_REPEAT 4
diff --git a/finn-hlslib/tb/dwc_tb.cpp b/finn-hlslib/tb/dwc_tb.cpp
deleted file mode 100755
index b70151aaf703c138aaed8895ce7efc450979027b..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/dwc_tb.cpp
+++ /dev/null
@@ -1,112 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *
- *  \file dwc_tb.cpp
- *
- *  Testbench for the data-width converter HLS block
- *
- *****************************************************************************/
-#include <iostream>
-#include <cmath>
-#include <ctime>
-#include <cstring>
-#include <hls_stream.h>
-#include <cstdlib>
-#define AP_INT_MAX_W 16384
-#include "ap_int.h"
-#include "weights.hpp"
-#include "bnn-library.h"
-
-#include "dwc_config.h"
-
-#include "activations.hpp"
-#include "interpret.hpp"
-
-using namespace hls;
-using namespace std;
-
-#define MAX_IMAGES 1
-void Testbench_dwc(stream<ap_uint<INPUT_WIDTH> > & in, stream<ap_uint<OUT_WIDTH> > & out, unsigned int numReps);
-
-int main()
-{
-	stream<ap_uint<INPUT_WIDTH> > input_stream("input_stream");
-	stream<ap_uint<OUT_WIDTH> > output_stream("output_stream");
-	static ap_uint<OUT_WIDTH> expected[NUM_REPEAT*MAX_IMAGES*INPUT_WIDTH/OUT_WIDTH];
-	unsigned int count_out = 0;
-	unsigned int count_in = 0;
-	for (unsigned int counter = 0; counter < NUM_REPEAT*MAX_IMAGES; counter++) {
-		ap_uint<INPUT_WIDTH> value = (ap_uint<INPUT_WIDTH>) counter;
-		input_stream.write(value);
-		if(INPUT_WIDTH < OUT_WIDTH){
-			ap_uint<OUT_WIDTH> val_out = expected[count_out];
-			val_out = val_out >> INPUT_WIDTH;
-			val_out(OUT_WIDTH-1,OUT_WIDTH-INPUT_WIDTH)=value;
-			expected[count_out]=val_out;
-			count_in++;
-			if (count_in == OUT_WIDTH/INPUT_WIDTH){
-				count_out++;
-				count_in=0;
-			}
-		}
-		else if(INPUT_WIDTH == OUT_WIDTH)
-		{
-			expected[counter] = value;
-		} else //INPUT_WIDTH > OUT_WIDTH
-		{
-
-			for (unsigned int word_count=0;word_count< INPUT_WIDTH/OUT_WIDTH; word_count++)
-			{
-				ap_uint<OUT_WIDTH> val_out = value(OUT_WIDTH-1,0);
-				value = value >> OUT_WIDTH;
-				expected[count_out] = val_out;
-				count_out++;
-			}
-		}
-	}
-	Testbench_dwc(input_stream, output_stream, MAX_IMAGES);
-	for (unsigned int counter=0 ; counter <  NUM_REPEAT*MAX_IMAGES*INPUT_WIDTH/OUT_WIDTH; counter++)
-	{
-		ap_uint<OUT_WIDTH> value = output_stream.read();
-		if(value!= expected[counter])
-		{
-			cout << "ERROR with counter " << counter << std::hex << " expected " << expected[counter] << " value " << value << std::dec <<  endl;
-			return(1);
-		}
-	}
-
-}
-
-
diff --git a/finn-hlslib/tb/dwc_top.cpp b/finn-hlslib/tb/dwc_top.cpp
deleted file mode 100755
index 900654c153ef0f188312b33c67170609acf50626..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/dwc_top.cpp
+++ /dev/null
@@ -1,51 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *
- *  \file dwc_top.cpp
- *
- *  HLS Top function with a single HLS data-width converter block unit testing
- *
- *****************************************************************************/
-#include <hls_stream.h>
-using namespace hls;
-#include "ap_int.h"
-#include "bnn-library.h"
-
-
-#include "dwc_config.h"
-
-void Testbench_dwc(stream<ap_uint<INPUT_WIDTH> > & in, stream<ap_uint<OUT_WIDTH> > & out, unsigned int numReps){
-	StreamingDataWidthConverter_Batch<INPUT_WIDTH, OUT_WIDTH, NUM_REPEAT>(in, out, numReps);
-}
diff --git a/finn-hlslib/tb/gen_weigths.py b/finn-hlslib/tb/gen_weigths.py
deleted file mode 100755
index de2a67c11601f782c637529d71456662343482b5..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/gen_weigths.py
+++ /dev/null
@@ -1,100 +0,0 @@
-#   Copyright (c) 2019, Xilinx, Inc.
-#   All rights reserved.
-# 
-#   Redistribution and use in source and binary forms, with or without 
-#   modification, are permitted provided that the following conditions are met:
-#
-#   1.  Redistributions of source code must retain the above copyright notice, 
-#       this list of conditions and the following disclaimer.
-#
-#   2.  Redistributions in binary form must reproduce the above copyright 
-#       notice, this list of conditions and the following disclaimer in the 
-#       documentation and/or other materials provided with the distribution.
-#
-#   3.  Neither the name of the copyright holder nor the names of its 
-#       contributors may be used to endorse or promote products derived from 
-#       this software without specific prior written permission.
-#
-#   THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-#   AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, 
-#   THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR 
-#   PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR 
-#   CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, 
-#   EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, 
-#   PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
-#   OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, 
-#   WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR 
-#   OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF 
-#   ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
-#  
-import numpy as np
-import os
-import sys
-import random 
-import subprocess
-
-outFileWeights = open("memdata.h" , "wt")
-outFileConfig = open("config.h" , "wt")
-
-kernel_dim = 3 
-stride = 1
-input_precision = 4
-ifm_channels = 1
-ofm_channels = 1
-ifm_dimension = 8
-ofm_dimension = 6
-
-activation_precision = 16
-expand = 1
-simd = 1
-pe = 1
-w_precision = 1
-mmv=2
-
-tile = ifm_channels *kernel_dim*kernel_dim * ofm_channels // (simd*pe)
-
-outFileConfig.write("#define KERNEL_DIM %d \n" % kernel_dim)
-outFileConfig.write("#define SIMD1 %d \n" % simd)
-outFileConfig.write("#define PE1 %d \n" % pe)
-outFileConfig.write("#define MMV1 %d \n" % mmv)
-outFileConfig.write("#define WIDTH %d \n" % w_precision)
-
-outFileConfig.write("#define IFM_Channels1 %d \n" % ifm_channels)
-outFileConfig.write("#define OFM_Channels1 %d \n" % ofm_channels)
-outFileConfig.write("#define IFMDim1 %d \n" % ifm_dimension)
-outFileConfig.write("#define OFMDim1 %d \n" % ofm_dimension)
-outFileConfig.write("#define STRIDE %d \n" % stride)
-outFileConfig.write("#define INPUT_PRECISION %d \n" % input_precision)
-outFileConfig.write("#define TILE1 %d \n" % tile)
-
-outFileConfig.write("#define ACTIVATION_PRECISION %d \n" % activation_precision)
-
-outFileConfig.close()
-outFileWeights.write("#ifndef PARAMS_HPP\n")
-outFileWeights.write("#define PARAMS_HPP\n")
-
-outFileWeights.write("namespace PARAM{ \n")
-if (w_precision == 1):
-	outFileWeights.write("static BinaryWeights<%d,%d,%d> weights= {\n{\n" %(simd,pe,tile))
-else:
-	outFileWeights.write("static FixedPointWeights<%d,ap_int<%d>,%d,%d> weights= {\n{\n" %(simd,w_precision,pe,tile))
-
-for p in range(pe):
-	outFileWeights.write("{ \n")
-	for t in range(tile):
-		width = simd*w_precision;
-		val = random.randint(0, 1<<width-1)
-		outFileWeights.write("%s" % hex(val))
-		if t!=tile-1:
-			outFileWeights.write(",\n")
-	outFileWeights.write("} \n")
-	if p!=pe-1:
-		outFileWeights.write(",")
-
-
-outFileWeights.write("}\n};\n } \n")
-outFileWeights.write("#endif \n")
-outFileWeights.close()
-
-
-
diff --git a/finn-hlslib/tb/input_gen.cpp b/finn-hlslib/tb/input_gen.cpp
deleted file mode 100755
index beb8049a57872c802c4e2622b05f154460b29c89..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/input_gen.cpp
+++ /dev/null
@@ -1,58 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *
- *  \file input_gen.cpp
- *
- *  HLS Top function with a single HLS sliding-window generator block unit testing
- *
- *****************************************************************************/
-#include <hls_stream.h>
-using namespace hls;
-#include "ap_int.h"
-#include "bnn-library.h"
-#include "input_gen.h"
-
-void Testbench(stream<ap_uint<SIMD*INPUT_PRECISION> > & in, stream<ap_uint<SIMD*INPUT_PRECISION> > & out, unsigned int numReps)
-{
-ConvolutionInputGenerator<KERNEL_DIM,
-	IFM_Channels,
-	INPUT_PRECISION,
-	IFMDim, 
-	OFMDim, 
-	SIMD,
-	STRIDE>(in, out, numReps);
-	
-}
-
diff --git a/finn-hlslib/tb/input_gen.h b/finn-hlslib/tb/input_gen.h
deleted file mode 100755
index c64c4af34f261dfa20a2c0a06d4117d5c260841d..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/input_gen.h
+++ /dev/null
@@ -1,41 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-#define SIMD 2
-#define KERNEL_DIM 2
-#define IFM_Channels 2
-#define IFMDim 6
-#define OFMDim 3
-#define STRIDE 2
-#define INPUT_PRECISION 8
-#define MMV 1
-#define INPUT_MULTIPLIER 1
-
diff --git a/finn-hlslib/tb/maxpool_tb.cpp b/finn-hlslib/tb/maxpool_tb.cpp
deleted file mode 100755
index e1c5d4f14deee9214852453384572e93531c64ae..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/maxpool_tb.cpp
+++ /dev/null
@@ -1,124 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *
- *  \file maxpool_tb.cpp
- *
- *  Testbench for the maxpool layer HLS block
- *
- *****************************************************************************/
-#include <iostream>
-#include <cmath>
-#include <ctime>
-#include <cstring>
-#include <hls_stream.h>
-#include <cstdlib>
-#define AP_INT_MAX_W 16384
-#include "ap_int.h"
-#include "weights.hpp"
-#include "bnn-library.h"
-
-#include "pool_config.h"
-#include "pool.hpp"
-#include "activations.hpp"
-#include "interpret.hpp"
-
-using namespace hls;
-using namespace std;
-
-#define MAX_IMAGES 1
-void Testbench_pool(stream<ap_uint<FM_Channels1*PRECISION> > & in, stream<ap_uint<FM_Channels1*PRECISION> > & out, unsigned int numReps);
-
-int main()
-{
-	static	ap_uint<PRECISION> IMAGE[MAX_IMAGES][IFMDim1][IFMDim1][FM_Channels1];
-	static	ap_uint<PRECISION> OUTPUT[MAX_IMAGES][OFMDim1][OFMDim1][FM_Channels1];
-	stream<ap_uint<FM_Channels1*PRECISION> > input_stream("input_stream");
-	stream<ap_uint<FM_Channels1*PRECISION> > output_stream("output_stream");
-	unsigned int counter = 0;
-	for (unsigned int n_image = 0; n_image < MAX_IMAGES; n_image++) {
-		for (unsigned int oy = 0; oy < IFMDim1; oy++) {
-			for (unsigned int ox = 0; ox < IFMDim1; ox++) {
-				ap_uint<PRECISION*FM_Channels1> input_channel = 0;
-				for(unsigned int channel = 0; channel < FM_Channels1; channel++)
-				{
-					ap_uint<PRECISION> input = (ap_uint<PRECISION>)(counter);
-					IMAGE[n_image][oy][ox][channel]= input;
-					input_channel = input_channel >> PRECISION;
-					input_channel(FM_Channels1*PRECISION-1,(FM_Channels1-1)*PRECISION)=input;
-
-					counter++;
-				}
-				input_stream.write(input_channel);
-			}
-		}
-	}
-	pool<MAX_IMAGES,IFMDim1,OFMDim1,FM_Channels1,KERNEL_DIM,KERNEL_DIM,ap_uint<PRECISION> >(IMAGE,OUTPUT);
-	Testbench_pool(input_stream, output_stream, MAX_IMAGES);
-	int err_counter = 0, err_perimage=0;
-	ap_uint<PRECISION> out_chan;
-	for (unsigned int n_image = 0; n_image < MAX_IMAGES; n_image++) {
-		for (unsigned int oy = 0; oy < OFMDim1; oy++) {
-			for (unsigned int ox = 0; ox < OFMDim1; ox++) {
-				ap_uint<FM_Channels1*PRECISION> outElem = output_stream.read();
-				for(unsigned int channel = 0; channel < FM_Channels1; channel++){
-					ap_uint<PRECISION> EXP = OUTPUT[n_image][ox][oy][channel];
-					out_chan(PRECISION-1,0) = outElem((channel + 1)*PRECISION-1,channel*PRECISION);
-					if (EXP != out_chan){
-						std::cout << "ERROR: Expected["<<oy <<"]["<<ox<<"]["<<channel<<"]=" << EXP << " actual " <<  out_chan << std::endl;
-						err_counter ++;
-						err_perimage++;
-					}
-
-				}
-			}
-		}
-		if(err_perimage == 0){
-			std::cout << "Image # " << n_image << " passed the testing."<< std::endl;
-		}
-		else{
-			err_perimage=0;
-			std::cout << "Image # " << n_image << " failed the testing."<< std::endl;
-		}
-	}
-	if(err_counter == 0){
-		return 0;
-	}
-	else{
-		return 1;
-	}
-
-}
-
-
diff --git a/finn-hlslib/tb/pool.hpp b/finn-hlslib/tb/pool.hpp
deleted file mode 100755
index 73c5dfa8e3e9286234c9fdcefd68888bd26982d5..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/pool.hpp
+++ /dev/null
@@ -1,67 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *
- *  \file pool.hpp
- *
- *  C++ Implementation of a max pool layer, used for testbench
- *
- *****************************************************************************/
-
-#ifndef POOL_TB_H
-#define POOL_TB_H
-
-template<int MAX_IMAGE,
-	int IFMDim,
-	int OFMDim,
-	int FMCh,
-	int kernel,
-	int stride,
-	typename TI>
-	void pool(TI const img[MAX_IMAGE][IFMDim][IFMDim][FMCh], TI out[MAX_IMAGE][OFMDim][OFMDim][FMCh]){
-		for(int n=0;n<MAX_IMAGE;n++)
-			for(int x=0;x<OFMDim;x++)
-				for(int y=0;y<OFMDim;y++)
-					for(int h=0;h<FMCh;h++){
-						TI tmp = 0;
-						for (int ky=0;ky<kernel;ky++)
-							for (int kx=0;kx<kernel;kx++)
-								if(img[n][(y*stride+ky)][x*stride+kx][h]>tmp){
-									tmp=img[n][(y*stride+ky)][x*stride+kx][h];
-								}
-						out[n][x][y][h] = tmp;
-					}
-	}
-
-#endif
diff --git a/finn-hlslib/tb/pool_config.h b/finn-hlslib/tb/pool_config.h
deleted file mode 100755
index ccf93c08fba13b3882984066599c21eaa6b26445..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/pool_config.h
+++ /dev/null
@@ -1,38 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-#define KERNEL_DIM 2
-#define WIDTH 4 
-#define FM_Channels1 16
-#define IFMDim1 16
-#define OFMDim1 8
-#define PRECISION 3
-
diff --git a/finn-hlslib/tb/pool_top.cpp b/finn-hlslib/tb/pool_top.cpp
deleted file mode 100755
index 60b1fa3851e7cf33dc80302795a7a9915400e543..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/pool_top.cpp
+++ /dev/null
@@ -1,51 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *
- *  \file pool_top.cpp
- *
- *  HLS Top function with a single HLS max pool block unit testing
- *
- *****************************************************************************/
-#include <hls_stream.h>
-using namespace hls;
-#include "ap_int.h"
-#include "bnn-library.h"
-
-
-#include "pool_config.h"
-
-void Testbench_pool(stream<ap_uint<FM_Channels1*PRECISION> > & in, stream<ap_uint<FM_Channels1*PRECISION> > & out, unsigned int numReps){
-	StreamingMaxPool_Precision_Batch<IFMDim1, KERNEL_DIM, FM_Channels1, ap_uint<PRECISION>, 0>(in, out, numReps);
-}
diff --git a/finn-hlslib/tb/swg_tb.cpp b/finn-hlslib/tb/swg_tb.cpp
deleted file mode 100755
index a0604521d25961ba20bb3bd2ba7524d3c8f24138..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/swg_tb.cpp
+++ /dev/null
@@ -1,105 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- ******************************************************************************/
-/******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *
- *  \file swg_tb.cpp
- *
- *  Testbench for the sliding window generator HLS block
- *
- *****************************************************************************/
-#include <hls_stream.h>
-#include "ap_int.h"
-#include <iostream>
-#include <string>
-#include "input_gen.h"
-#include "math.h"
-using namespace hls;
-using namespace std;
-
-#define MAX_IMAGES 2
-
-void Testbench(stream<ap_uint<IFM_Channels*INPUT_PRECISION> > & in, stream<ap_uint<IFM_Channels*INPUT_PRECISION> > & out, unsigned int numReps);
-
-
-int main()
-{
-static	ap_uint<INPUT_PRECISION> INPUT_IMAGES[MAX_IMAGES][IFMDim*IFMDim][IFM_Channels];
-stream<ap_uint<IFM_Channels*INPUT_PRECISION> > input_stream("input_stream");
-stream<ap_uint<IFM_Channels*INPUT_PRECISION> > output_stream("output_stream");
-	unsigned int counter = 0;
-	for (unsigned int n_image = 0; n_image < MAX_IMAGES; n_image++) {
-		for (unsigned int oy = 0; oy < IFMDim; oy++) {
-			for (unsigned int ox = 0; ox < IFMDim; ox++) {
-				ap_uint<INPUT_PRECISION*IFM_Channels> input_channel = 0;
-				for(unsigned int channel = 0; channel < IFM_Channels; channel++)
-				{
-					ap_uint<INPUT_PRECISION> input = (ap_uint<INPUT_PRECISION>)(counter);
-					INPUT_IMAGES[n_image][oy*IFMDim+ox][channel]= input;
-					input_channel = input_channel >> INPUT_PRECISION;
-					input_channel(IFM_Channels*INPUT_PRECISION-1,(IFM_Channels-1)*INPUT_PRECISION)=input;
-
-					counter++;
-				}
-				input_stream.write(input_channel);
-			}
-		}
-	}
-	Testbench(input_stream, output_stream, MAX_IMAGES);
-	for (unsigned int n_image = 0; n_image < MAX_IMAGES; n_image++) {
-		for (unsigned int oy = 0; oy < OFMDim; oy++) {
-			for (unsigned int ox = 0; ox < OFMDim; ox+=MMV) {
-				for (unsigned int ky = 0; ky < KERNEL_DIM; ky++) {
-					for (unsigned int kx = 0; kx < KERNEL_DIM; kx++) {
-						unsigned int input_base = (oy*STRIDE) * IFMDim + (ox*STRIDE);
-						unsigned int input_ind = input_base + ky * IFMDim + kx;
-						ap_uint<IFM_Channels*INPUT_PRECISION> outElem = output_stream.read();
-						for(unsigned int channel = 0; channel < IFM_Channels; channel++){
-							ap_uint<INPUT_PRECISION> out_chan = 0;
-							out_chan = outElem(INPUT_PRECISION-1,0);
-							if (((INPUT_IMAGES[n_image][input_ind][channel])) != out_chan){
-								std::cout << "ERROR: " <<  " Expected " << INPUT_IMAGES[n_image][input_ind][channel] << " actual " <<  out_chan << std::endl;
-								std::cout << "oy= " << oy << " ox= " << ox << " ky= " << ky << " kx= " << kx << std::endl;
-								return 1;
-							}
-							outElem = outElem >> INPUT_PRECISION;
-						}
-					}
-				}
-			}
-		}
-		std::cout << "Image # " << n_image << std::endl;
-	}
-	return 0;
-
-}
diff --git a/finn-hlslib/tb/test_add.tcl b/finn-hlslib/tb/test_add.tcl
deleted file mode 100755
index 612cf10da6cb32656e43c00c19e013acd0b37b6a..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/test_add.tcl
+++ /dev/null
@@ -1,11 +0,0 @@
-open_project hls-syn-add
-add_files add_top.cpp -cflags "-std=c++0x -I$::env(FINN_HLS_ROOT) -I$::env(FINN_HLS_ROOT)/tb" 
-add_files -tb add_tb.cpp -cflags "-std=c++0x -I$::env(FINN_HLS_ROOT) -I$::env(FINN_HLS_ROOT)/tb" 
-set_top Testbench_add
-open_solution sol1
-set_part {xczu3eg-sbva484-1-i}
-create_clock -period 5 -name default
-csim_design
-csynth_design
-cosim_design
-exit
diff --git a/finn-hlslib/tb/test_conv3.tcl b/finn-hlslib/tb/test_conv3.tcl
deleted file mode 100755
index 5d96fb7de57437e2f32edbacc297e4ad9fdc6390..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/test_conv3.tcl
+++ /dev/null
@@ -1,51 +0,0 @@
-##############################################################################
- #  Copyright (c) 2019, Xilinx, Inc.
- #  All rights reserved.
- #
- #  Redistribution and use in source and binary forms, with or without
- #  modification, are permitted provided that the following conditions are met:
- #
- #  1.  Redistributions of source code must retain the above copyright notice,
- #     this list of conditions and the following disclaimer.
- #
- #  2.  Redistributions in binary form must reproduce the above copyright
- #      notice, this list of conditions and the following disclaimer in the
- #      documentation and/or other materials provided with the distribution.
- #
- #  3.  Neither the name of the copyright holder nor the names of its
- #      contributors may be used to endorse or promote products derived from
- #      this software without specific prior written permission.
- #
- #  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- #  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- #  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- #  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- #  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- #  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- #  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- #  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- #  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- #  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- #  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- #
-###############################################################################
-###############################################################################
- #
- #  Authors: Giulio Gambardella <giuliog@xilinx.com>
- #
- # \file test_conv3.tcl
- #
- # Tcl script for HLS csim, synthesis and cosim of the convolutional layer
- #
-###############################################################################
-open_project hls-syn-conv
-add_files conv_top.cpp -cflags "-std=c++0x -I$::env(FINN_HLS_ROOT) -I$::env(FINN_HLS_ROOT)/tb" 
-add_files -tb conv3_tb.cpp -cflags "-std=c++0x -I$::env(FINN_HLS_ROOT) -I$::env(FINN_HLS_ROOT)/tb" 
-set_top Testbench_conv
-open_solution sol1
-set_part {xczu3eg-sbva484-1-i}
-create_clock -period 5 -name default
-csim_design
-csynth_design
-cosim_design
-exit
diff --git a/finn-hlslib/tb/test_convmmv.tcl b/finn-hlslib/tb/test_convmmv.tcl
deleted file mode 100755
index aaada69019f916916525d9afe1cb963584133aee..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/test_convmmv.tcl
+++ /dev/null
@@ -1,51 +0,0 @@
-##############################################################################
- #  Copyright (c) 2019, Xilinx, Inc.
- #  All rights reserved.
- #
- #  Redistribution and use in source and binary forms, with or without
- #  modification, are permitted provided that the following conditions are met:
- #
- #  1.  Redistributions of source code must retain the above copyright notice,
- #     this list of conditions and the following disclaimer.
- #
- #  2.  Redistributions in binary form must reproduce the above copyright
- #      notice, this list of conditions and the following disclaimer in the
- #      documentation and/or other materials provided with the distribution.
- #
- #  3.  Neither the name of the copyright holder nor the names of its
- #      contributors may be used to endorse or promote products derived from
- #      this software without specific prior written permission.
- #
- #  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- #  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- #  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- #  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- #  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- #  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- #  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- #  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- #  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- #  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- #  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- #
-###############################################################################
-###############################################################################
- #
- #  Authors: Giulio Gambardella <giuliog@xilinx.com>
- #
- # \file test_convmmv.tcl
- #
- # Tcl script for HLS csim, synthesis and cosim of the convolutional layer with MMV
- #
-###############################################################################
-open_project hls-syn-convmmv
-add_files conv_mmv.cpp -cflags "-std=c++0x -I$::env(FINN_HLS_ROOT) -I$::env(FINN_HLS_ROOT)/tb" 
-add_files -tb conv3mmv_tb.cpp -cflags "-std=c++0x -I$::env(FINN_HLS_ROOT) -I$::env(FINN_HLS_ROOT)/tb" 
-set_top Testbench_convmmv
-open_solution sol1
-set_part {xczu3eg-sbva484-1-i}
-create_clock -period 5 -name default
-csim_design
-csynth_design
-cosim_design
-exit
diff --git a/finn-hlslib/tb/test_dup_stream.tcl b/finn-hlslib/tb/test_dup_stream.tcl
deleted file mode 100755
index a80fd5f37b2d2aaea5f8ea7d1b3b31b54ec1ce75..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/test_dup_stream.tcl
+++ /dev/null
@@ -1,11 +0,0 @@
-open_project hls-syn-dup_stream
-add_files dup_stream_top.cpp -cflags "-std=c++0x -I$::env(FINN_HLS_ROOT) -I$::env(FINN_HLS_ROOT)/tb" 
-add_files -tb dup_stream_tb.cpp -cflags "-std=c++0x -I$::env(FINN_HLS_ROOT) -I$::env(FINN_HLS_ROOT)/tb" 
-set_top Testbench_dup_stream
-open_solution sol1
-set_part {xczu3eg-sbva484-1-i}
-create_clock -period 5 -name default
-csim_design
-csynth_design
-cosim_design
-exit
diff --git a/finn-hlslib/tb/test_dwc.tcl b/finn-hlslib/tb/test_dwc.tcl
deleted file mode 100755
index 8faf3a523c1eef24a00ee90c61e55795e361a6b7..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/test_dwc.tcl
+++ /dev/null
@@ -1,51 +0,0 @@
-##############################################################################
- #  Copyright (c) 2019, Xilinx, Inc.
- #  All rights reserved.
- #
- #  Redistribution and use in source and binary forms, with or without
- #  modification, are permitted provided that the following conditions are met:
- #
- #  1.  Redistributions of source code must retain the above copyright notice,
- #     this list of conditions and the following disclaimer.
- #
- #  2.  Redistributions in binary form must reproduce the above copyright
- #      notice, this list of conditions and the following disclaimer in the
- #      documentation and/or other materials provided with the distribution.
- #
- #  3.  Neither the name of the copyright holder nor the names of its
- #      contributors may be used to endorse or promote products derived from
- #      this software without specific prior written permission.
- #
- #  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- #  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- #  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- #  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- #  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- #  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- #  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- #  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- #  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- #  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- #  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- #
-###############################################################################
-###############################################################################
- #
- #  Authors: Giulio Gambardella <giuliog@xilinx.com>
- #
- # \file test_dwc.tcl
- #
- # Tcl script for HLS csim, synthesis and cosim of the datawidth converter block
- #
-###############################################################################
-open_project hls-syn-dwc
-add_files dwc_top.cpp -cflags "-std=c++0x -I$::env(FINN_HLS_ROOT) -I$::env(FINN_HLS_ROOT)/tb" 
-add_files -tb dwc_tb.cpp -cflags "-std=c++0x -I$::env(FINN_HLS_ROOT) -I$::env(FINN_HLS_ROOT)/tb" 
-set_top Testbench_dwc
-open_solution sol1
-set_part {xczu3eg-sbva484-1-i}
-create_clock -period 5 -name default
-csim_design
-csynth_design
-cosim_design
-exit
diff --git a/finn-hlslib/tb/test_pool.tcl b/finn-hlslib/tb/test_pool.tcl
deleted file mode 100755
index 0aef96333e97eff74ba8f16fc832abbe5858c9c9..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/test_pool.tcl
+++ /dev/null
@@ -1,51 +0,0 @@
-##############################################################################
- #  Copyright (c) 2019, Xilinx, Inc.
- #  All rights reserved.
- #
- #  Redistribution and use in source and binary forms, with or without
- #  modification, are permitted provided that the following conditions are met:
- #
- #  1.  Redistributions of source code must retain the above copyright notice,
- #     this list of conditions and the following disclaimer.
- #
- #  2.  Redistributions in binary form must reproduce the above copyright
- #      notice, this list of conditions and the following disclaimer in the
- #      documentation and/or other materials provided with the distribution.
- #
- #  3.  Neither the name of the copyright holder nor the names of its
- #      contributors may be used to endorse or promote products derived from
- #      this software without specific prior written permission.
- #
- #  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- #  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- #  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- #  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- #  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- #  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- #  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- #  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- #  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- #  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- #  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- #
-###############################################################################
-###############################################################################
- #
- #  Authors: Giulio Gambardella <giuliog@xilinx.com>
- #
- # \file test-pool.tcl
- #
- # Tcl script for HLS csim, synthesis and cosim of the max pooling layer
- #
-###############################################################################
-open_project hls-syn-pool
-add_files pool_top.cpp -cflags "-std=c++0x -I$::env(FINN_HLS_ROOT) -I$::env(FINN_HLS_ROOT)/tb" 
-add_files -tb maxpool_tb.cpp -cflags "-std=c++0x -I$::env(FINN_HLS_ROOT) -I$::env(FINN_HLS_ROOT)/tb" 
-set_top Testbench_pool
-open_solution sol1
-set_part {xczu3eg-sbva484-1-i}
-create_clock -period 5 -name default
-csim_design
-csynth_design
-cosim_design
-exit
diff --git a/finn-hlslib/tb/test_swg.tcl b/finn-hlslib/tb/test_swg.tcl
deleted file mode 100755
index 074f0819e23b18c8a4f2fe00f46e9fe029a63f4e..0000000000000000000000000000000000000000
--- a/finn-hlslib/tb/test_swg.tcl
+++ /dev/null
@@ -1,51 +0,0 @@
-##############################################################################
- #  Copyright (c) 2019, Xilinx, Inc.
- #  All rights reserved.
- #
- #  Redistribution and use in source and binary forms, with or without
- #  modification, are permitted provided that the following conditions are met:
- #
- #  1.  Redistributions of source code must retain the above copyright notice,
- #     this list of conditions and the following disclaimer.
- #
- #  2.  Redistributions in binary form must reproduce the above copyright
- #      notice, this list of conditions and the following disclaimer in the
- #      documentation and/or other materials provided with the distribution.
- #
- #  3.  Neither the name of the copyright holder nor the names of its
- #      contributors may be used to endorse or promote products derived from
- #      this software without specific prior written permission.
- #
- #  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- #  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- #  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- #  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- #  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- #  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- #  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- #  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- #  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- #  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- #  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- #
-###############################################################################
-###############################################################################
- #
- #  Authors: Giulio Gambardella <giuliog@xilinx.com>
- #
- # \file test_swg.tcl
- #
- # Tcl script for HLS csim, synthesis and cosim of the sliding window generator block
- #
-###############################################################################
-open_project hls-syn-swg
-add_files input_gen.cpp -cflags "-std=c++0x -I$::env(FINN_HLS_ROOT)" 
-add_files -tb swg_tb.cpp -cflags "-std=c++0x -I$::env(FINN_HLS_ROOT)" 
-set_top Testbench
-open_solution sol1
-set_part {xczu3eg-sbva484-1-i}
-create_clock -period 5 -name default
-csim_design
-csynth_design
-cosim_design
-exit
diff --git a/finn-hlslib/utils.hpp b/finn-hlslib/utils.hpp
deleted file mode 100755
index 5ef2fac0bf38bdcb2825a77892250ba436aec98f..0000000000000000000000000000000000000000
--- a/finn-hlslib/utils.hpp
+++ /dev/null
@@ -1,104 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *
- *******************************************************************************/
- 
-/*******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *           Thomas B. Preusser <thomas.preusser@utexas.edu>
- *             Marie-Curie Fellow, Xilinx Ireland, Grant Agreement No. 751339
- *           Christoph Doehring <cdoehrin@xilinx.com>
- *
- *  @file utils.hpp
- *
- *  This project has received funding from the European Union's Framework
- *  Programme for Research and Innovation Horizon 2020 (2014-2020) under
- *  the Marie Skłodowska-Curie Grant Agreement No. 751339.
- *
- *******************************************************************************/
-
-#ifndef UTILS_HPP
-#define UTILS_HPP
-
-#include <iostream>
-#include <fstream>
-#include <cstddef>
-
-//- Static Evaluation of ceil(log2(x)) ---------------------------------------
-template<size_t N> struct clog2 {
-  static unsigned const  value = 1 + ((N&1) == 0? clog2<N/2>::value : clog2<N/2+1>::value);
-};
-template<> struct clog2<0> {};
-template<> struct clog2<1> { static unsigned const  value = 0; };
-template<> struct clog2<2> { static unsigned const  value = 1; };
-
-//- Helpers to get hold of types ---------------------------------------------
-template<typename T> struct first_param {};
-template<typename R, typename A, typename... Args>
-struct first_param<R (*)(A, Args...)> { typedef A  type; };
-template<typename C, typename R, typename A, typename... Args>
-struct first_param<R (C::*)(A, Args...)> { typedef A  type; };
-
-//- Resource Representatives -------------------------------------------------
-class ap_resource_dflt {};
-class ap_resource_lut {};
-class ap_resource_dsp {};
-
-/**
- * \brief   Stream logger - Logging call to dump on file - not synthezisable
- *
- *
- * \tparam     BitWidth    Width, in number of bits, of the input (and output) stream
- *
- * \param      layer_name   File name of the dump
- * \param      log          Input (and output) stream
- *
- */
-template < unsigned int BitWidth >
-void logStringStream(const char *layer_name, hls::stream<ap_uint<BitWidth> > &log){
-    std::ofstream ofs(layer_name);
-    hls::stream<ap_uint<BitWidth> > tmp_stream;
-	
-  while(!log.empty()){
-    ap_uint<BitWidth> tmp = (ap_uint<BitWidth>) log.read();
-    ofs << std::hex << tmp << std::endl;
-    tmp_stream.write(tmp);
-  }
-
-  while(!tmp_stream.empty()){
-    ap_uint<BitWidth> tmp = tmp_stream.read();
-    log.write((ap_uint<BitWidth>) tmp);
-  }
-
-  ofs.close();
-}
-
-#endif
diff --git a/finn-hlslib/weights.hpp b/finn-hlslib/weights.hpp
deleted file mode 100755
index dda1ea435d0ffcf5636ece08c92c9f98d77515c3..0000000000000000000000000000000000000000
--- a/finn-hlslib/weights.hpp
+++ /dev/null
@@ -1,152 +0,0 @@
-/******************************************************************************
- *  Copyright (c) 2019, Xilinx, Inc.
- *  All rights reserved.
- *
- *  Redistribution and use in source and binary forms, with or without
- *  modification, are permitted provided that the following conditions are met:
- *
- *  1.  Redistributions of source code must retain the above copyright notice,
- *     this list of conditions and the following disclaimer.
- *
- *  2.  Redistributions in binary form must reproduce the above copyright
- *      notice, this list of conditions and the following disclaimer in the
- *      documentation and/or other materials provided with the distribution.
- *
- *  3.  Neither the name of the copyright holder nor the names of its
- *      contributors may be used to endorse or promote products derived from
- *      this software without specific prior written permission.
- *
- *  THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
- *  AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
- *  THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
- *  PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR
- *  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL,
- *  EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO,
- *  PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS;
- *  OR BUSINESS INTERRUPTION). HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
- *  WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR
- *  OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF
- *  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
- *******************************************************************************/
-
-/*******************************************************************************
- *
- *  Authors: Giulio Gambardella <giuliog@xilinx.com>
- *           Thomas B. Preusser <thomas.preusser@utexas.edu>
- *             Marie-Curie Fellow, Xilinx Ireland, Grant Agreement No. 751339
- *           Christoph Doehring <cdoehrin@xilinx.com>
- *
- *  @file weights.hpp
- *
- *  Library of templated HLS classes for BNN deployment. 
- *  This file lists a set of classes used to implement  
- *  weights in neural network. 
- *
- *  This project has received funding from the European Union's Framework
- *  Programme for Research and Innovation Horizon 2020 (2014-2020) under
- *  the Marie Skłodowska-Curie Grant Agreement No. 751339.
- *
- *******************************************************************************/
-
-#ifndef WEIGHTS_HPP
-#define WEIGHTS_HPP
-
-#include <ap_int.h>
-#include <array>
-
-
-/**
- * \brief      A binary weight storage adapter that translates the internal 
- * organization optimized for storage to the generalized access by the MVAU.
- *
- * \tparam     SIMD   Number of input columns (channels) computed in parallel
- * \tparam     PE     Number of output rows (channels) computed in parallel
- * \tparam     TILES  3rd dimension of the weights matrix
- */
-template<unsigned SIMD, unsigned PE, unsigned TILES>
-class BinaryWeights {
- public:
-  ap_uint<SIMD>  m_weights[PE][TILES];
-
- private:
-  /**
-   * Temporary container for the tile index to implement the
-   * memory access in pe -> tile order.
-   */
-  class TileIndex {
-    BinaryWeights const &m_par;
-    unsigned      const  m_idx;
-
-   public:
-    TileIndex(BinaryWeights const &par, unsigned const  idx)
-      : m_par(par), m_idx(idx) {
-#pragma HLS inline
-    }
-
-   public:
-    ap_uint<SIMD> operator[](unsigned const  pe) const {
-#pragma HLS inline
-      return  m_par.m_weights[pe][m_idx];
-    }
-  };
-
- public:
-  TileIndex weights(unsigned const  tile) const {
-#pragma HLS inline
-    return  TileIndex(*this, tile);
-  }
-};
-
-
-/**
- * \brief      A fixeed point weight storage adapter that translates the internal 
- * organization optimized for storage to the generalized access by the MVAU.
- *
- * \tparam     SIMD   Number of input columns (channels) computed in parallel
- * \tparam     WT     Datatype of the weights
- * \tparam     PE     Number of output rows (channels) computed in parallel
- * \tparam     TILES  3rd dimension of the weights matrix
- */
-template<unsigned SIMD, typename WT ,unsigned PE, unsigned TILES>
-class FixedPointWeights {
- public:
-  ap_uint<SIMD*WT::width>  m_weights[PE][TILES];
-
- private:
-  /**
-   * Temporary container for the tile index to implement the
-   * memory access in pe -> tile order.
-   */
-  class TileIndex {
-    FixedPointWeights const &m_par;
-    unsigned          const  m_idx;
-
-   public:
-    TileIndex(FixedPointWeights const &par, unsigned const  idx)
-      : m_par(par), m_idx(idx) {
-#pragma HLS inline
-    }
-
-   public:
-    std::array<WT,SIMD> operator[](unsigned const  pe) const {
-#pragma HLS inline
-      std::array<WT,SIMD> temp;
-	  for(unsigned int i=0; i<SIMD; i++) {
-#pragma HLS unroll
-        ap_int<WT::width> local_temp;
-        local_temp = m_par.m_weights[pe][m_idx]((i+1)*WT::width-1, i*WT::width);
-        WT value = *reinterpret_cast<WT*>(&local_temp);
-        temp[i] = value;
-      }
-      return  temp;
-    }
-  };
-
- public:
-  TileIndex weights(unsigned const  tile) const {
-#pragma HLS inline
-    return  TileIndex(*this, tile);
-  }
-};
-
-#endif
diff --git a/run-docker.sh b/run-docker.sh
index 65570586ef6768c3e75afad43c0fe61a147e979b..d014924fd1377d5a5c1f3cbe986a375231d3bdd1 100755
--- a/run-docker.sh
+++ b/run-docker.sh
@@ -31,6 +31,8 @@ VIVADO_HLS_LOCAL=$VIVADO_PATH/include
 # clone dependency repos
 git clone --branch feature/finn_onnx_export $BREVITAS_REPO $BREVITAS_LOCAL ||  git -C "$BREVITAS_LOCAL" pull
 git clone $EXAMPLES_REPO $EXAMPLES_LOCAL ||  git -C "$EXAMPLES_LOCAL" pull
+git clone $CNPY_REPO $CNPY_LOCAL ||  git -C "$CNPY_LOCAL" pull
+git clone $FINN_HLS_REPO $FINN_HLS_LOCAL ||  git -C "$FINN_HLS_LOCAL" pull
 
 echo "Mounting $SCRIPTPATH into /workspace/finn"
 echo "Mounting $SCRIPTPATH/brevitas into /workspace/brevitas"